Insulating Coating Patents (Class 257/632)
  • Patent number: 7585785
    Abstract: A method of forming an air gap within a semiconductor structure by the steps of: (a) using a sacrificial polymer to occupy a space in a semiconductor structure; and (b) heating the semiconductor structure to decompose the sacrificial polymer leaving an air gap within the semiconductor structure, wherein the sacrificial polymer of step (a) is a copolymer of bis[3-(4-benzocyclobutenyl)]1,n (n=2-12) alkyldiol diacrylate (such as bis[3-(4-benzocyclobutenyl)]1,6 hexanediol diacrylate) and 1,3 bis 2[4-benzocyclobutenyl(ethenyl)]benzene. In addition, a semiconductor structure, having a sacrificial polymer positioned between conductor lines, wherein the sacrificial polymer is a copolymer of bis[3-(4-benzocyclobutenyl)]1,n (n=2-12)alkyldiol diacrylate and 1,3 bis 2[4-benzocyclobutenyl(ethenyl)]benzene.
    Type: Grant
    Filed: January 30, 2004
    Date of Patent: September 8, 2009
    Assignee: Dow Global Technologies
    Inventors: Robert A. Kirchhoff, Jason Q. Niu, Yongfu Li, Kenneth L. Foster
  • Publication number: 20090220782
    Abstract: A method of forming gallium arsenide (GaAs)-on-insulator includes providing a substrate and forming a diffusion barrier layer of a compound of formula AlxGa1-xAs on the substrate. A layer of GaAs is formed on the diffusion barrier layer of AlxGa1-xAs. The layer of AlxGa1-xAs is substantially completely oxidized to transform the layer of AlxGa1-xAs into an electrical insulator as well as a diffusion barrier.
    Type: Application
    Filed: February 19, 2009
    Publication date: September 3, 2009
    Applicant: Agency for Science, Technology and Research
    Inventors: Ching Kean CHIA, Dongzhi CHI, Jianrong DONG, Aaditya SRIDHARA
  • Publication number: 20090206453
    Abstract: A hydrophobic compound having at least one each of hydrophobic group (an alkyl group having 1 to 6 carbon atoms or a —C6H5 group) and polymerizable group (a hydrogen atom, a hydroxyl group or a halogen atom) is allowed to undergo a gas-phase polymerization reaction, under reduced pressure (of not more than 30 kPa), in the presence of a raw porous silica film and to thus form a modified porous silica film wherein a hydrophobic polymer thin film is formed on the inner walls of holes present in the raw porous silica film. The resulting porous silica film has a low relative dielectric constant and a low refractive index and the silica film is likewise improved in the mechanical strength and hydrophobicity. A semiconductor device is produced using the porous silica film.
    Type: Application
    Filed: February 15, 2006
    Publication date: August 20, 2009
    Applicants: ULVAC, INC., MITSUI CHEMICALS, INC., TOKYO ELECTRON LIMITED
    Inventors: Nobutoshi Fujii, Kazuo Kohmura, Hidenori Miyoshi, Hirofumi Tanaka, Shunsuke Oike, Masami Murakami, Takeshi Kubota, Yoshito Kurano
  • Publication number: 20090206444
    Abstract: An integrated semiconductor device includes a plurality of semiconductor elements having different integrated element circuits or different sizes; an insulating material arranged between the semiconductor elements; an organic insulating film arranged entirely on the semiconductor elements and the insulating material; a fine thin-layer wiring that arranged on the organic insulating film and connects the semiconductor elements; a first input/output electrode arranged on an area of the insulating material; and a first bump electrode formed on the first input/output electrode.
    Type: Application
    Filed: February 13, 2009
    Publication date: August 20, 2009
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Hiroshi YAMADA, Kazuhiko ITAYA, Yutaka ONOZUKA, Hideyuki FUNAKI
  • Publication number: 20090200646
    Abstract: Methods for fabricating sublithographic, nanoscale microstructures in one-dimensional arrays utilizing self-assembling block copolymers, and films and devices formed from these methods are provided.
    Type: Application
    Filed: February 13, 2008
    Publication date: August 13, 2009
    Inventors: Dan B. Millward, Karl Stuen
  • Publication number: 20090200553
    Abstract: The present invention generally comprises a low cost TFT and a method of manufacturing a TFT. For TFTs, soda lime glass would be an attractive alternative to non-alkali glass, but a soda lime glass substrate will permit sodium to diffuse into the active layer and degrade the performance of the TFT. Substrates comprising a polyimide, because they are flexible, would also be attractive to utilize instead of non-alkali glass substrates, but the plastic substrates permit carbon to diffuse into the active layer. By depositing a silicon oxynitride adhesion layer over the soda lime glass substrate and a silicon rich barrier layer over the adhesion layer, diffusion may be reduced and deposition may occur at high temperatures. Thus, a lower cost TFT may be produced with a soda lime glass substrate or a substrate comprising a polyimide as compared to a non-alkali glass substrate.
    Type: Application
    Filed: March 27, 2009
    Publication date: August 13, 2009
    Applicant: APPLIED MATERIALS, INC
    Inventors: Ya-Tang Yang, Beom Soo Park, Tae K. Won, Soo Young Choi
  • Publication number: 20090189258
    Abstract: A method for fabricating an integrated circuit including forming a first trench in a rear side of a semiconductor wafer, wherein the first trench has a depth extending partially through a thickness of the semiconductor wafer, coating the rear side with a layer of coating material, including filling the first trench with the coating material, and forming a second trench in a front side of the semiconductor wafer, wherein the second trench is aligned with and has a width less than a width of the first trench, and wherein the second trench has a depth extending at least through a remaining portion of the semiconductor wafer so as to be in communication with the coating material filling the first trench.
    Type: Application
    Filed: January 29, 2008
    Publication date: July 30, 2009
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Franco Mariani, Werner Kroeninger
  • Patent number: 7564120
    Abstract: Electrical structures and devices may be formed and include an organic passivating layer that is chemically bonded to a silicon-containing semiconductor material to improve the electrical properties of electrical devices. In different embodiments, the organic passivating layer may remain within finished devices to reduce dangling bonds, improve carrier lifetimes, decrease surface recombination velocities, increase electronic efficiencies, or the like. In other embodiments, the organic passivating layer may be used as a protective sacrificial layer and reduce contact resistance or reduce resistance of doped regions. The organic passivation layer may be formed without the need for high-temperature processing.
    Type: Grant
    Filed: September 2, 2005
    Date of Patent: July 21, 2009
    Assignee: California Institute of Technology
    Inventors: Nathan S. Lewis, William J. Royea
  • Publication number: 20090179306
    Abstract: A carbon-rich silicon carbide-like dielectric film having a carbon concentration of greater than, or equal to, about 30 atomic % C and a dielectric constant of less than, or equal to, about 4.5 is provided. In some embodiments, the dielectric film may optionally include nitrogen. When nitrogen is present, the carbon-rich silicon carbide-like dielectric film has a concentration nitrogen that is less than, or equal, to about 5 atomic % nitrogen. The carbon-rich silicon carbide-like dielectric film can be used as a dielectric cap layer in an interconnect structure. The inventive dielectric film is highly robust to UV curing and remains compressively stressed after UV curing. Moreover, the inventive dielectric film has good oxidation resistance and prevents metal diffusion into an interconnect dielectric layer. The present invention also provides an interconnect structure including the inventive dielectric film as a dielectric cap. A method of fabricating the inventive dielectric film is also provided.
    Type: Application
    Filed: January 10, 2008
    Publication date: July 16, 2009
    Applicants: INTERNATIONAL BUSINESS MACHINES CORPORATION, ADVANCED MICRO DEVICES, INC.
    Inventors: Alfred Grill, Joshua L. Herman, Son Nguyen, E. Todd Ryan, Hosadurga K. Shobha
  • Publication number: 20090179307
    Abstract: An integrated circuit system that includes: providing a substrate and a material layer; measuring a parameter of the material layer; and correlating the thickness of an anti-reflective layer to the measured parameter of the material layer for critical dimension control.
    Type: Application
    Filed: January 15, 2008
    Publication date: July 16, 2009
    Applicant: CHARTERED SEMICONDUCTOR MANUFACTURING LTD.
    Inventors: Wenzhan Zhou, Jasper Goh, Hui Peng Koh, Jung Yu Hsieh, Meisheng Zhou
  • Publication number: 20090174040
    Abstract: Briefly, in accordance with one or more embodiments, a dielectric platform is at least partially formed in a semiconductor substrate and extending at least partially below a surface of a semiconductor substrate. The dielectric platform may include structural pillars formed by backfilling a first plurality of cavities etched in the substrate, and a second plurality of cavities formed by etching away sacrificial pillars disposed between the structural pillars. The second plurality of cavities may be capped to hermetically seal the second plurality of cavities to impart the dielectric constant of the material contained therein, for example air, to the characteristic dielectric constant of the dielectric platform. Alternatively, the second plurality of cavities may be backfilled with a material having a lower dielectric constant than the substrate, for example silicon dioxide where the substrate comprises silicon.
    Type: Application
    Filed: December 9, 2008
    Publication date: July 9, 2009
    Inventors: Bishnu Prasanna Gogoi, David William Wolfert
  • Publication number: 20090170342
    Abstract: The present invention relates to dielectric nanostructures useful in semiconductor devices and other electronic devices and methods for manufacturing the dielectric nanostructures. The nanostructures generally comprises an array of isolated pillars positioned on a substrate. The methods of the present invention involve using semiconductor technology to manufacture the nanostructures from a mixture of a crosslinkable dielectric material and an amphiphilic block copolymer.
    Type: Application
    Filed: August 3, 2006
    Publication date: July 2, 2009
    Inventors: Ho-Cheol Kim, Robert D. Miller
  • Patent number: 7554200
    Abstract: Semiconductor devices with porous insulative materials are disclosed. The porous insulative materials may include a consolidated material with voids dispersed therethrough. The voids may be defined by shells of microcapsules. The voids impart the dielectric materials with reduced dielectric constants and, thus, increased electrical insulation properties.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: June 30, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Warren M. Farnworth, Tongbi Jiang
  • Publication number: 20090160023
    Abstract: An insulation film (24) having a gradual inclination of a surface is formed by a high density plasma CVD method, an atmospheric pressure CVD method or the like, after a ferroelectric capacitor (23) is formed. Thereafter, an alumina film (25) is formed on the insulation film (24). According to the method, low coverage of the alumina film (25) does not become a problem, and the ferroelectric capacitor (23) is reliably protected.
    Type: Application
    Filed: February 24, 2009
    Publication date: June 25, 2009
    Applicant: Fujitsu Microelectronics Limited
    Inventors: Kazutoshi Izumi, Hitoshi Saito, Naoya Sashida, Kaoru Saigoh, Kouichi Nagai
  • Patent number: 7550397
    Abstract: Embodiments relate to a semiconductor device and a method of manufacturing a semiconductor device having a pre-metal dielectric liner. In embodiments, method for forming a semiconductor device may include forming a pre-metal dielectric liner, which has a multi-layer structure including a plurality of interfacial surfaces, on an entire surface of a semiconductor substrate formed with a transistor, and forming a boron phospho silicate glass (BPSG) oxide layer on the pre-metal dielectric liner. Since the pre-metal dielectric liner is formed in a multi-layer structure having a plurality of interfacial surfaces, boron (B) of an upper BPSG oxide layer is not penetrated into the semiconductor substrate.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: June 23, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Sung Kyung Jung
  • Publication number: 20090152686
    Abstract: The present invention is a film forming method for an SiOCH film, comprising a unit-film-forming step including: a deposition step of depositing an SiOCH film element by using an organic silicon compound as a raw material and by using a plasma CVD method; and a hydrogen plasma processing step of providing a hydrogen plasma process to the deposited SiOCH film element, wherein the unit-film-forming step is repeated several times so as to form an SiOCH film on a substrate.
    Type: Application
    Filed: December 5, 2006
    Publication date: June 18, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shinji Ide, Yasuhiro Oshima, Yusaku Kashiwagi
  • Publication number: 20090152687
    Abstract: A method of opening a pad in a semiconductor device. A protective film on a pad may be etched with a pad opening pattern as a mask. Dielectric heating may be performed on the pad opened by etching the protective film. Organic material containing C and F groups on the pad may be removed by heating with molecular vibration and/or microwaves, which may substantially prevent and/or minimize corrosion.
    Type: Application
    Filed: December 9, 2008
    Publication date: June 18, 2009
    Inventor: Dae-Heok Kwon
  • Publication number: 20090146264
    Abstract: The present invention generally comprises a low cost TFT and a method of manufacturing a TFT. For TFTs, soda lime glass would be an attractive alternative to non-alkali glass, but a soda lime glass substrate will permit sodium to diffuse into the active layer and degrade the performance of the TFT. Substrates comprising a polyimide, because they are flexible, would also be attractive to utilize instead of non-alkali glass substrates, but the plastic substrates permit carbon to diffuse into the active layer. By depositing a silicon rich barrier layer over the soda lime glass substrate or substrate comprising a polyimide, both sodium and carbon diffusion may be reduced. Thus, a lower cost TFT may be produced with a soda lime glass substrate or a substrate comprising a polyimide as compared to a non-alkali glass substrate.
    Type: Application
    Filed: November 25, 2008
    Publication date: June 11, 2009
    Applicant: APPLIED MATERIALS, INC.
    Inventors: YA-TANG YANG, BEOM SOO PARK, TAE K. WON, SOO YOUNG CHOI, JOHN M. WHITE
  • Publication number: 20090146265
    Abstract: A method for fabricating a SiCOH dielectric material comprising Si, C, O and H atoms from a single organosilicon precursor with a built-in organic porogen is provided. The single organosilicon precursor with a built-in organic porogen is selected from silane (SiH4) derivatives having the molecular formula SiRR1R2R3, disiloxane derivatives having the molecular formula R4R5R6—Si—O—Si—R7R8R9, and trisiloxane derivatives having the molecular formula R10R11R12—Si—O—Si—R13R14—O—Si—R15R16R17 where R and R1-17 may or may not be identical and are selected from H, alkyl, alkoxy, epoxy, phenyl, vinyl, allyl, alkenyl or alkynyl groups that may be linear, branched, cyclic, polycyclic and may be functionalized with oxygen, nitrogen or fluorine containing substituents. In addition to the method, the present application also provides SiCOH dielectrics made from the inventive method as well as electronic structures that contain the same.
    Type: Application
    Filed: February 13, 2009
    Publication date: June 11, 2009
    Applicant: International Business Machines Corporation
    Inventors: Son Van Nguyen, Stephen McConnell Gates, Deborah A. Neumayer, Alfred Grill
  • Publication number: 20090140330
    Abstract: The semiconductor device according to the present invention includes a semiconductor layer, a trench formed by digging the semiconductor layer from the surface thereof, a gate insulating film formed on the inner surface of the trench, and a gate electrode made of silicon embedded in the trench through the gate insulating film. The gate electrode has a high-conductivity portion formed to cover the gate insulating film with a relatively high conductivity and a low-conductivity portion formed on a region inside the high-conductivity portion with a relatively low conductivity.
    Type: Application
    Filed: December 3, 2008
    Publication date: June 4, 2009
    Applicant: ROHM CO., LTD.
    Inventors: Ryotaro Yagi, Isamu Nishimura, Takahisa Yamaha
  • Publication number: 20090127669
    Abstract: A method for forming an interlayer dielectric film by a plasma CVD method, including turning off a radio frequency power and purging with an inert gas simultaneously.
    Type: Application
    Filed: September 17, 2008
    Publication date: May 21, 2009
    Applicant: NEC Corporation
    Inventors: Hironori YAMAMOTO, Fuminori ITO, Yoshihiro HAYASHI
  • Publication number: 20090127670
    Abstract: A semiconductor device includes: a semiconductor substrate; and an insulating layer formed on at least a main surface of the semiconductor substrate; wherein a contact hole is formed at the insulating layer so as to expose the main surface of the semiconductor substrate through the insulating layer so that a cross section of the contact hole parallel to the main surface of the semiconductor substrate is shaped rectangularly.
    Type: Application
    Filed: November 10, 2008
    Publication date: May 21, 2009
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Naoki MATSUNAGA
  • Publication number: 20090115030
    Abstract: A method of forming a semiconductor device including forming a low-k dielectric material over a substrate, depositing a liner on a portion of the low-k dielectric material, and exposing the liner to a plasma. The method also includes depositing a layer over the liner.
    Type: Application
    Filed: December 9, 2008
    Publication date: May 7, 2009
    Applicant: Texas Instruments Incorporated
    Inventors: Sameer Kumar Ajmera, Patricia Beauregard Smith, Changming Jin
  • Publication number: 20090114953
    Abstract: A method of performing a timed etch of a material to a precise depth is provided. In this method, ion implantation of the material is performed before the timed etch. This ion implantation process substantially enhances the etch rate of the material within a precisely controlled depth range corresponding to the range of implantation-induced damage. By using the ion implantation, the variation in vertical etch depth can be reduced by a factor approximately equal to the etch rate of the damaged material divided by the etch rate of the undamaged material. The vertical etch depth can be used to provide a vertical dimension of a non-planar semiconductor device. Minimizing vertical device dimension variations on a wafer can reduce device and circuit performance variations, which is highly desirable.
    Type: Application
    Filed: January 12, 2009
    Publication date: May 7, 2009
    Applicant: Synopsys, Inc.
    Inventor: Tsu-Jae King Liu
  • Publication number: 20090115029
    Abstract: A semiconductor substrate is irradiated with accelerated hydrogen ions, thereby forming a damaged region including a large amount of hydrogen. After a single crystal semiconductor substrate and a supporting substrate are bonded to each other, the semiconductor substrate is heated, so that the single crystal semiconductor substrate is separated in the damaged region. A single crystal semiconductor layer which is separated from the single crystal semiconductor substrate is irradiated with a laser beam. The single crystal semiconductor layer is melted by laser beam irradiation, whereby the single crystal semiconductor layer is recrystallized to recover its crystallinity and to planarized a surface of the single crystal semiconductor layer.
    Type: Application
    Filed: October 16, 2008
    Publication date: May 7, 2009
    Applicant: Semiconductor Energy Laboratory Co., ltd.
    Inventors: Masaki Koyama, Fumito Isaka, Akihisa Shimomura, Junpei Momo
  • Publication number: 20090117750
    Abstract: The present disclosure relates to methods for forming a high-k gate dielectric, the methods comprising the steps of providing a semiconductor substrate, cleaning the substrate, performing a thermal treatment, and performing a high-k dielectric material deposition, wherein said thermal treatment step is performed in a non-oxidizing ambient, leading to the formation of a thin interfacial layer between said semiconductor substrate and said high-k dielectric material and wherein the thickness of said thin interfacial layer is less than 10 ?.
    Type: Application
    Filed: October 30, 2008
    Publication date: May 7, 2009
    Applicants: Interuniversitair Microelektronica Centrum (IMEC), Katholieke Universiteit Leuven, Taiwan Semiconductor Manufacturing Company, Ltd. (TSMC)
    Inventors: Hui OuYang, Jean-Luc Everaert, Laura Nyns, Rita Vos
  • Publication number: 20090108413
    Abstract: This invention provides an interlayer insulating film for a semiconductor device, which has low permittivity, is free from the evolution of gas such as CFx and SiF4 and is stable, and a wiring structure comprising the same. In an interlayer insulating film comprising an insulating film provided on a substrate layer, the interlayer insulating film has an effective permittivity of not more than 3. The wiring structure comprises an interlayer insulating film, a contact hole provided in the interlayer insulating film, and a metal filled into the contact hole. The insulating film comprises a first fluorocarbon film provided on the substrate layer and a second fluorocarbon film provided on the first fluorocarbon film.
    Type: Application
    Filed: June 20, 2006
    Publication date: April 30, 2009
    Applicants: TOHOKU UNIVERSITY, FOUNDATION FOR ADVANCEMENT OF INTERNATIONAL SCIENC
    Inventor: Tadahiro Ohmi
  • Publication number: 20090108414
    Abstract: A wafer has a rare earth oxide layer disposed, typically sprayed, on a substrate. It is useful as a dummy wafer in a plasma etching or deposition system.
    Type: Application
    Filed: October 27, 2008
    Publication date: April 30, 2009
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Toshihiko TSUKATANI, Takao MAEDA, Junichi NAKAYAMA, Hirofumi KAWAZOE, Masaru KONYA, Noriaki HAMAYA, Hajime NAKANO
  • Patent number: 7525144
    Abstract: An insulating film includes an oxide of a metal selected from Hf and Zr, the oxide being doped by at least one of Ba, Sr and Mg. And the insulating film satisfies the following formula (1): 0.06 at %?[Ba]+[Sr]+[Mg]?1.4 at %??(1) wherein [Ba] represents atomic % of Ba, [Sr] represents atomic % of Sr, and [Mg] represents atomic % of Mg.
    Type: Grant
    Filed: March 21, 2007
    Date of Patent: April 28, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tatsuo Shimizu, Noburu Fukushima
  • Publication number: 20090102025
    Abstract: A method for manufacturing a semiconductor device comprises dry-etching a thin film using a resist mask carrying patterns in which at least one of the width of each pattern and the space between neighboring two patterns ranges from 32 to 130 nm using a halogenated carbon-containing compound gas with the halogen being at least two members selected from the group consisting of F, I and Br. The ratio of at least one of I and Br is not more than 26% of the total amount of the halogen atoms as expressed in terms of the atomic compositional ratio to transfer the patterns onto the thin film. Such etching of a thin film avoids causing damage to the resist mask used. The resulting thin film carrying the transferred patterns is used as a mask for subjecting the underlying material to dry-etching.
    Type: Application
    Filed: April 7, 2006
    Publication date: April 23, 2009
    Inventors: Toshio Hayashi, Yasuhiro Morikawa, Michio Ishikawa, Yuji Furumura, Naomi Mura
  • Publication number: 20090096067
    Abstract: After the surface of the substrate is cleaned, an interface layer or an antidiffusion film is formed. A metal oxide film is built upon the antidiffusion film. Annealing is done in an NH3 atmosphere so as to diffuse nitrogen in the metal oxide film. Building of the metal oxide film and diffusion of nitrogen are repeated several times, whereupon annealing is done in an O2 atmosphere. By annealing the film in an O2 atmosphere at a temperature higher than 650° C., the leak current in the metal oxide film is controlled.
    Type: Application
    Filed: December 12, 2008
    Publication date: April 16, 2009
    Applicants: ROHM CO., LTD., HORIBA, LTD., RENESAS TECHNOLOGY CORP.,
    Inventors: Kunihiko IWAMOTO, Koji TOMINAGA, Toshihide NABATAME, Tomoaki NISHIMURA
  • Patent number: 7517813
    Abstract: An efficient method for the thermal oxidation of preferably silicon semiconductor wafers using LOCOS (local oxidation of silicon) processes is described. The mechanical stresses of the wafers are to be reduced. To this end, an oxidation method is proposed that comprises providing a substrate (1) having a front side (12) to be patterned and a rear side (13). The substrate is oxidized in two steps. In a first step the rear side (13) is covered by a layer (4) that inhibits or hampers the oxidation. During a second step of the oxidation the oxidation-hampering layer (4) is no longer present. During both steps an oxide thickness is obtained on the front side (12) that is greater than an oxide thickness obtained on the rear side (13).
    Type: Grant
    Filed: October 6, 2005
    Date of Patent: April 14, 2009
    Assignee: X-FAB Semiconductor Foundries AG
    Inventors: Ralf Lerner, Uwe Eckoldt
  • Publication number: 20090085172
    Abstract: A deposition method includes steps of placing a substrate on a susceptor in a process chamber; supplying to the process chamber a source gas including an organic compound and a plasma gas for facilitating activation of the source gas into plasma; evacuating the process chamber to a reduced pressure; generating plasma of the plasma gas and the source gas in the process chamber to deposit a barrier film including carbon on the substrate; and applying high frequency bias electric power to the susceptor during the plasma generating step.
    Type: Application
    Filed: September 26, 2008
    Publication date: April 2, 2009
    Inventors: Masahiro Horigome, Shigekazu Hirose
  • Publication number: 20090085171
    Abstract: An oxide film formation method comprises steps of: generating a plasma from a gas mixture containing an inert gas and an oxidizing gas whose mixing ratio to the inert gas is higher than 0, and is 0.007 or lower; and forming an oxide film on a surface of a silicon substrate by using the plasma.
    Type: Application
    Filed: September 25, 2008
    Publication date: April 2, 2009
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Nobuyuki Endo
  • Publication number: 20090085082
    Abstract: Controlled deposition of HfO2 and ZrO2 dielectrics is generally described. In one example, a microelectronic apparatus includes a substrate and a dielectric film coupled with the substrate, the dielectric film including ZrO2 and HfO2 wherein the ratio of Zr to Hf in the dielectric film is about 5 to 10 atoms of Zr for every 1 atom of Hf to reduce ToxE or reduce Jox of the dielectric film.
    Type: Application
    Filed: September 27, 2007
    Publication date: April 2, 2009
    Inventors: Gilbert Dewey, Matthew Metz, Jack Kavalieros, Robert Chau
  • Patent number: 7511360
    Abstract: N channel and P channel transistors are enhanced by applying stressor layers of tensile and compressive, respectively, over them. A previously unknown problem was discovered concerning the two stressor layers, which both may conveniently be nitride but made somewhat differently. The two stressors have different etch rates which results in deleterious effects when etching a contact hole at the interface between the two stressors. A contact to a gate is often preferably half way between N and P channel transistors which is also the seemingly best location for the border between the two stressor layers. The contact etch at the border can result in pitting of the underlying gate structure or in residual nitride in the contact hole. Therefore, it has been found beneficial to ensure that each contact is at least some predetermined distance from the stressor of the opposite type from the one the contact is passing through.
    Type: Grant
    Filed: December 14, 2005
    Date of Patent: March 31, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Mehul D. Shroff, Paul A. Grudowski
  • Publication number: 20090079040
    Abstract: A semiconductor structure consistent with certain implementations has a crystalline substrate oriented with a {111} plane surface that is within 10 degrees of surface normal. An epitaxially grown electrically insulating interlayer overlays the crystalline substrate and establishes a coincident lattice that mates with the surface symmetry of the {111} plane surface. An atomically stable two dimensional crystalline film resides on the epitaxial insulating layer with a coincident lattice match to the insulating interlayer. Methods of fabrication are disclosed. This abstract is not to be considered limiting, since other embodiments may deviate from the features described in this abstract.
    Type: Application
    Filed: September 11, 2008
    Publication date: March 26, 2009
    Inventors: Brian D. Schultz, Gary Elder McGuire
  • Publication number: 20090072356
    Abstract: In a wide gap semiconductor device of SiC or the like used at a temperature of 150 degrees centigrade or higher, the insulation characteristic of a wide gap semiconductor element is improved and a high-voltage resistance is achieved. For these purposes, a synthetic high-molecular compound, with which the outer surface of the wide gap semiconductor element is coated, is formed in a three-dimensional steric structure which is formed by linking together organosilicon polymers C with covalent bonds resulting from addition reaction. The organosilicon polymers C have been formed by linking at least one organosilicon polymers A having a crosslinked structure using siloxane (Si—O—Si combination) with at least one organosilicon polymers B having a linear linked structure using siloxane through siloxane bonds.
    Type: Application
    Filed: July 11, 2008
    Publication date: March 19, 2009
    Applicant: The Kansai Electric Power Co., Inc.
    Inventor: Yoshitaka Sugawara
  • Patent number: 7498662
    Abstract: Briefly, the present invention provides an electronic device, typically a transistor or a capacitor, comprising at least one electrically conductive electrode and, adjacent to the electrode, a dielectric layer; wherein the dielectric layer comprises a polymeric matrix and, dispersed in the polymeric matrix, metal oxide particles; wherein the metal oxide particles have organic functional groups covalently bound to their surface, and wherein the organic functional groups are not covalently bound to the polymeric matrix. In another aspect, the present invention provides a printable dispersion, typically a jet-printable dispersion, comprising: a) a curable composition and b) metal oxide particles; wherein the metal oxide particles have organic functional groups covalently bound to their surface, and wherein the organic functional groups are not covalently bound to any part of the curable composition.
    Type: Grant
    Filed: November 18, 2005
    Date of Patent: March 3, 2009
    Assignee: 3M Innovative Properties Company
    Inventor: Mark E. Napierala
  • Publication number: 20090039476
    Abstract: Embodiments of an apparatus and methods for fabricating a spacer on one part of a multi-gate transistor without forming a spacer on another part of the multi-gate transistor are generally described herein. Other embodiments may be described and claimed.
    Type: Application
    Filed: October 7, 2008
    Publication date: February 12, 2009
    Inventors: Jack T. Kavalieros, Uday Shah, Willy Rachmady, Brian S. Doyle
  • Publication number: 20090039475
    Abstract: To provide a semiconductor manufacturing apparatus which is able to improve insulation film. An irradiating device comprises irradiating means for irradiating light with a wavelength longer than one corresponding to the absorption edge of insulation film for said insulation film and shorter than one necessary for cutting chemical bonds, to which hydrogen of said insulation film is related.
    Type: Application
    Filed: April 24, 2006
    Publication date: February 12, 2009
    Inventor: Yoshimi Shioya
  • Publication number: 20090039474
    Abstract: In a formation method of a porous insulating film by supplying at least organosiloxane and an inert gas to a reaction chamber and forming an insulating film by a plasma vapor deposition method, a partial pressure of the organosiloxane in the reaction chamber is changed by varying a volume ratio of the organosiloxane and the inert gas to be supplied during deposition. Thus, the dielectric constant of the insulating film in the semiconductor device is reduced while the adhesion of the insulating film with other materials is improved. It is desirable that the organosiloxane be cyclic organosiloxane including at least silicon, oxygen, carbon, and hydrogen, and that the total pressure of the reaction chamber be constant during deposition.
    Type: Application
    Filed: November 24, 2006
    Publication date: February 12, 2009
    Inventors: Munehiro Tada, Naoya Furutake, Tsuneo Takeuchi, Yoshihiro Hayashi
  • Patent number: 7489020
    Abstract: An elevated containment structure in the shape of a wafer edge ring surrounding a surface of a semiconductor wafer is disclosed, as well as methods of forming and using such a structure. In one embodiment, a wafer edge ring is formed using a stereolithography (STL) process. In another embodiment, a wafer edge ring is formed with a spin coating apparatus provided with a wafer edge exposure (WEE) system. In further embodiments, a wafer edge ring is used to contain a liquid over a wafer active surface during a processing operation. In one embodiment, the wafer edge ring contains a liquid having a higher refractive index than air while exposing a photoresist on the wafer by immersion lithography. In another embodiment, the wafer edge ring contains a curable liquid material while forming a chip scale package (CSP) sealing layer on the wafer.
    Type: Grant
    Filed: April 27, 2006
    Date of Patent: February 10, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Peter A. Benson
  • Publication number: 20090032095
    Abstract: The invention relates to a method for the production of a semiconductor component having at least one optically reflective surface in which a silicon wafer, which has an etchable dielectric layer at least in regions on at least one of its surfaces, is provided with a silicon-containing masking layer in order to screen against fluid media. In addition a layer comprising aluminium is deposited on the masking layer and subsequently a thermal treatment of the semiconductor component is undertaken, the result being dissolving of the silicon in the aluminium. Furthermore, the invention relates to a corresponding semiconductor component made of a silicon wafer having at least one optically reflective surface. Semiconductor components of this type are used in particular as solar cells.
    Type: Application
    Filed: February 15, 2007
    Publication date: February 5, 2009
    Applicant: FRAUNHOFER-GESELLSCHAFT ZUR FORDERUNG DER ANGEWANDTEN FORSCHUNG E.V.
    Inventors: Oliver Schultz, Marc Hofmann
  • Publication number: 20090026588
    Abstract: A plasma processing method for forming a film on a substrate using a gas processed by a plasma. The plasma processing method for forming a film includes the steps of forming a CF film on the substrate by using a CaFb gas (here, a is a counting number, and b is a counting number which satisfies an equation of “b=2×a·2”), processing the CF film with the gas processed by the plasma, and forming an insulating film on the CF film processed by using an insulating material processed with the plasma.
    Type: Application
    Filed: December 28, 2007
    Publication date: January 29, 2009
    Applicant: Tokyo Electron Limited
    Inventors: Kotaro Miyatani, Kohei Kawamura, Toshihisa Nozawa, Takaaki Matsuoka
  • Publication number: 20090026587
    Abstract: A dielectric layer for a semiconductor device having a low overall dielectric constant, good adhesion to the semiconductor substrate, and good resistance to cracking due to thermal cycling. The dielectric layer is made by a process involving continuous variation of dielectric material deposition conditions to provide a dielectric layer having a gradient of dielectric constant.
    Type: Application
    Filed: January 14, 2004
    Publication date: January 29, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Matthew Angyal, Habib Hichri, Henry A. Nye, III, Dale McHerron, Jia Lee
  • Patent number: 7482676
    Abstract: Low dielectric materials and films comprising same have been identified for improved performance when used as performance materials, for example, in interlevel dielectrics integrated circuits as well as methods for making same. In one aspect of the present invention, the performance of the dielectric material may be improved by controlling the weight percentage of ethylene oxide groups in the at least one porogen.
    Type: Grant
    Filed: July 11, 2006
    Date of Patent: January 27, 2009
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Brian Keith Peterson, John Francis Kirner, Scott Jeffrey Weigel, James Edward MacDougall, Lisa Deis, Thomas Albert Braymer, Keith Douglas Campbell, Martin Devenney, C. Eric Ramberg, Konstantinos Chondroudis, Keith Cendak
  • Publication number: 20090020835
    Abstract: An electronic device including a semiconductor layer containing silicon as a major component; and a dielectric film epitaxially grown directly on a major surface of the semiconductor layer, a difference between 21/2 times lattice constant of the dielectric film along the major plane and a lattice constant of the semiconductor layer along the major plane being not larger than 1.5%, wherein the dielectric film includes a dielectric material having a well layer, the well layer is expressed by a chemical formula mAO+nABO3 where a layer of a sodium chloride structure expressed by a chemical formula AO and a layer of a perovskite structure expressed by a chemical formula ABO3 are stacked.
    Type: Application
    Filed: June 9, 2008
    Publication date: January 22, 2009
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Tatsuo Shimizu, HIdeki Satake
  • Publication number: 20090014845
    Abstract: An SiO2-based film-forming composition giving a protective film which, after impurity diffusion, can be easily stripped off and which has a higher protective effect. The film-forming composition is one for forming a protective film which in the diffusion of an impurity into a silicon wafer, serves to partly prevent the impurity diffusion. This film-forming composition comprises a high-molecular silicon compound and a compound having a protective element which undergoes covalent bonding to the element to be diffused in the impurity diffusion and thereby comes to have eight valence electrons. The protective element is preferably gallium or aluminum when phosphorus is used as the element to be diffused, and is preferably tantalum, niobium, arsenic, or antimony when boron is used as the diffusion element.
    Type: Application
    Filed: December 19, 2006
    Publication date: January 15, 2009
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Toshiro Morita, Isao Sato
  • Publication number: 20090012439
    Abstract: A semiconductor sensor device is electrically coupled to an object. An attachment member attaches the semiconductor sensor device to the object. The attachment member comprises a first conductive contact region and a second conductive contact region. An insulating portion is electrically isolates the semiconductor sensor device from the first conductive contact region and second conductive contact region.
    Type: Application
    Filed: July 2, 2007
    Publication date: January 8, 2009
    Applicant: Infineon Technologies AG
    Inventors: Wolfgang STADLER, Harald Gossner, Reinhold Gaertner