Coating Formed From Vaporous Or Gaseous Phase Reaction Mixture (e.g., Chemical Vapor Deposition, Cvd, Etc.) Patents (Class 427/255.28)
  • Publication number: 20150090693
    Abstract: A film formation apparatus according to an embodiment includes: a film formation chamber performing film formation on a substrate; a cylindrical liner provided inside of a sidewall of the film formation chamber; a process-gas supply unit provided at a top of the film formation chamber and having a first gas ejection hole supplying a process gas to inside of the liner; a first heater provided outside the liner in the film formation chamber and heating the substrate from above; a second heater heating the substrate from below; and a shielding gas supply unit having a plurality of second gas ejection holes supplying a shielding gas to a position closer to a sidewall of the film formation chamber than a position of the first gas ejection hole.
    Type: Application
    Filed: August 29, 2014
    Publication date: April 2, 2015
    Inventors: Hideki ITO, Kunihiko SUZUKI, Hidekazu TSUCHIDA, Isaho KAMATA, Masahiko ITO, Hiroaki FUJIBAYASHI, Masami NAITO, Ayumu ADACHI, Koichi NISHIKAWA
  • Patent number: 8992684
    Abstract: The geometry of transition from cylindrical to rectangular shape through the conical part in hydride vapor phase epitaxial (HVPE) systems for deposition of III-nitride films is disclosed. It is used to ensure the laminar gas flow inside the growth zone of the system. For the velocity of flow within the atmospheric pressure reactor to be sufficient, the precursors are injected through the narrow diameter tubing injectors. The quartz reactor geometry is introduced to control the transition from jet to laminar flow.
    Type: Grant
    Filed: June 14, 2013
    Date of Patent: March 31, 2015
    Assignee: Ostendo Technologies, Inc.
    Inventors: Oleg Kovalenkov, Vitali Soukhoveev, Alexander Syrkin, Vladimir Sizov
  • Publication number: 20150086716
    Abstract: An apparatus for depositing a layer of material at different thicknesses on a substrate using atomic layer deposition (ALD) to form patterns that exhibit different colors. The patterns may be formed using a printer head that moves in a two-dimensional plane over the substrate along a path while injecting the precursor gases onto the substrate. Patterns are formed on the substrate along the path along which the printer head moves. The refraction of light incident on the layer of material on the substrate causes the deposited material to exhibit different colors.
    Type: Application
    Filed: September 23, 2014
    Publication date: March 26, 2015
    Inventors: Samuel S. Park, Hyo-Seok Yang, Sang In Lee
  • Patent number: 8986783
    Abstract: A method of depositing a material on a substrate is provided for use in manufacturing electronic and display devices such as semiconductors, liquid crystal displays, and organic light emitting diode displays. A deposition material stored in a first deposition source section is heated to evaporate the deposition material. A second deposition source section, which is separate from the first deposition source section, is cooled. The first deposition source section is cooled, and deposition material stored in a second deposition source section is heated so as to alternately supply evaporated deposition material from the first and second deposition source sections to a feed section. The evaporated deposition material from the feed section is supplied to a nozzle section. A substrate can be provided to receive the evaporated deposition material from the nozzle section. A thin film of deposition material can then be formed on the substrate.
    Type: Grant
    Filed: November 29, 2010
    Date of Patent: March 24, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventors: Suk-Won Jung, Seung-Ho Choi, Kang-Il Lee, Hyun-Keun Song, Cheol-Lae Roh
  • Publication number: 20150079283
    Abstract: A deposition apparatus comprising a vaporizer chamber configured to hold a solid precursor of a dopant element therein. Gas input and output lines are connected to the vaporizer chamber and flow rate controllers are coupled to each of the gas input and output lines. The flow rate controllers are configured to adjust a rate of carrier gas flow into and out of the vaporizer chamber through the gas input and output lines. The vaporizer chamber has a temperature controller and pressure controller to produce vapors of the solid precursor in the vaporizer chamber that can be carried with the carrier gas flow through the output line.
    Type: Application
    Filed: September 13, 2013
    Publication date: March 19, 2015
    Applicant: LGS Innovations LLC
    Inventors: Brijesh Vyas, Mike Santo, Hugo Safar
  • Patent number: 8980371
    Abstract: A film deposition method includes rotating a rotary table by a first angle while supplying a separation gas from a separation gas supplying part and a first reaction gas from a first gas supplying part; supplying a second reaction gas from a second gas supplying part and rotating the rotary table by a second angle while supplying the separation gas from the separation gas supplying part and the first reaction gas from the first gas supplying part; rotating the rotary table by a third angle while supplying the separation gas from the separation gas supplying part and the first reaction gas from the first gas supplying part; and supplying a third reaction gas from the second gas supplying part and rotating the rotary table by a fourth angle while supplying the separation gas and the first reaction gas.
    Type: Grant
    Filed: November 25, 2013
    Date of Patent: March 17, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Hiroaki Ikegawa, Masahiko Kaminishi, Kosuke Takahashi, Yu Sasaki, Jun Ogawa
  • Publication number: 20150072119
    Abstract: A multi-layer structure including an interlayer to relieve stress in the structure, a device including the structure, and a method of forming the device and structure are disclosed. The structure includes a substrate having a first coefficient of thermal expansion, an interlayer, and a coating having a second coefficient of thermal expansion. The interlayer reduces stress in the structure that would otherwise exist in the structure as a result of the difference in coefficients of thermal expansion of the substrate and the coating.
    Type: Application
    Filed: April 12, 2013
    Publication date: March 12, 2015
    Inventors: Steven George, Shih-Hui Jen, Peter Carcia, Robert McLean
  • Publication number: 20150068316
    Abstract: A sensor array comprises a carrier substrate and a ferro electric layer disposed on the carrier substrate, wherein the sensor array comprises means for reading the permittivity of the ferro electric layer. The sensor array is such that the ferro electric layer is disposed in a crystalline manner on the carrier substrate. A method for producing the sensor array and to use of the same is also disclosed.
    Type: Application
    Filed: March 9, 2013
    Publication date: March 12, 2015
    Applicant: FORSCHUNGSZENTRM JUELICH GMBH
    Inventor: Roger Woerdenweber
  • Publication number: 20150064451
    Abstract: A coating method, coated article and coating are provided. The coated article includes a low temperature component, and a graphene coating formed from a graphene derivative applied over the low temperature component. The coating method includes providing a graphene derivative, providing a low temperature component, applying the graphene derivative over the low temperature component, and forming a graphene coating. The graphene coating reduces corrosion and fouling of the low temperature component. The coating includes a graphene derivative, and modified functional groups on the graphene derivative. The modified functional groups increase adherence of the coating on application to a low temperature component.
    Type: Application
    Filed: August 29, 2013
    Publication date: March 5, 2015
    Applicant: GENERAL ELECTRIC COMPANY
    Inventors: Murali Krishna KALAGA, Arjun BHATTACHARYYA, Rebika Mayanglambam DEVI, Jon Conrad SCHAEFFER, Padmaja PARAKALA, Surinder Singh PABLA
  • Publication number: 20150064838
    Abstract: Self-assembled monolayer hybrid materials having a modified carboxylic acid deposited from the gas-phase onto a metal oxide substrate, methods of using targeted ?-carbon modified carboxylic acids to rapidly deposit activated organic molecules into a self-assembled monolayer on metal oxide substrates, and the self-assembled monolayer hybrid materials capable of being used in various industries, such as optoelectronics and separation science.
    Type: Application
    Filed: September 4, 2014
    Publication date: March 5, 2015
    Inventors: Brian A. Logue, Mahdi Farrakh Baroughi, Venkataiah Mallam
  • Patent number: 8969234
    Abstract: A method of preparing a fuel cell electrode catalyst by preparing a platinum-carbon core-shell composite, which has a platinum nanoparticle core and a graphene carbon shell, using a simultaneous evaporation process, a method for preparing a fuel cell electrode comprising the catalyst prepared thereby, and a fuel cell comprising the same. A fuel cell comprising an electrode catalyst consisting of the core-shell composite prepared by simultaneously evaporating the platinum precursor and the organic precursor can have high performance and high durability, because the platinum particles are not agglomerated or detached and corroded even under severe conditions, including high-temperature, long use term, acidic and alkaline conditions.
    Type: Grant
    Filed: June 7, 2013
    Date of Patent: March 3, 2015
    Assignee: Korea Institute of Energy Research
    Inventors: Hee-Yeon Kim, Seok-yong Hong
  • Publication number: 20150056384
    Abstract: The invention concerns the use of ruthenium containing precursors having the formula (1) wherein R1, R2 . . . R10 are independently selected from H, C1-C4 linear, branched, or cyclic alkyl group, C1-C4 linear, branched, or cyclic alkylsilyl group (mono, bis, or trisalkyl), C1-C4 linear, branched, or cyclic alkylamino group, or a C1-C4 linear, branched, or cyclic fluoroalkyl group (totally fluorinated or not); for the deposition of a Ru containing film on a substrate.
    Type: Application
    Filed: November 30, 2012
    Publication date: February 26, 2015
    Inventors: Julien Gatineau, Clément Lansalot-Matras
  • Publication number: 20150056373
    Abstract: [Object] To provide a deposition method and a deposition apparatus capable of forming a metal compound layer having desired film characteristics uniformly in a substrate surface. [Solving Means] A deposition method according to an embodiment of the present invention includes evacuating an inside of a vacuum chamber 10 having a deposition chamber 101 formed inside a cylindrical partition wall 20 and an exhaust chamber 102 formed outside the partition wall 20, via an exhaust line 50 connected to the exhaust chamber 102. A process gas containing a reactive gas is introduced into the exhaust chamber 102. With the deposition chamber 101 being maintained at a lower pressure than the exhaust chamber 102, the process gas is supplied to the deposition chamber 101 via a gas flow passage 80 between the partition wall 20 and the vacuum chamber 10.
    Type: Application
    Filed: July 25, 2013
    Publication date: February 26, 2015
    Applicant: ULVAC, INC.
    Inventors: Natsuki Fukuda, Kazunori Fukuju, Yutaka Nishioka, Koukou Suu
  • Patent number: 8956510
    Abstract: The present invention relates generally to methods for producing metallic products comprising a substrate and a metallic, external coating. In preferred embodiments, the metallic products are jewelry articles.
    Type: Grant
    Filed: June 1, 2012
    Date of Patent: February 17, 2015
    Assignee: Frederick Goldman, Inc.
    Inventor: Andrew Derrig
  • Publication number: 20150044368
    Abstract: Provided is a placing table structure which is disposed in a processing container and has a subject to be processed thereon so as to form a thin film on the subject in the processing container by using raw material gas which generates thermal decomposition reaction having reversibility. The placing table structure is provided with a placing table for the purpose of placing the subject to be processed on a placing surface, i.e., an upper surface of the placing table structure, and a decomposition suppressing gas supply means which is arranged in the placing table for the purpose of supplying decomposition suppressing gas, which suppresses thermal decomposition of the raw material gas, toward a peripheral section of the subject placed on the placing surface of the placing table.
    Type: Application
    Filed: October 10, 2014
    Publication date: February 12, 2015
    Inventors: Atsushi Gomi, Yasushi Mizusawa, Tatsuo Hatano, Masamichi Hara, Kaoru Yamamoto, Satoshi Taga
  • Publication number: 20150037500
    Abstract: A device and a method for continuous chemical vapour deposition under atmospheric pressure on substrates. The device is hereby based on a reaction chamber, along the open sides of which the substrates are guided, as a result of which the corresponding coatings can be effected on the side of the substrates which is orientated towards the chamber interior.
    Type: Application
    Filed: September 17, 2014
    Publication date: February 5, 2015
    Inventors: Stefan Reber, Albert Hurrle, Norbert Schillinger
  • Publication number: 20150037514
    Abstract: A method and apparatus for coating and baking and deposition of surfaces on glass substrate or flexible substrate, such as films and thin glass sheets or other similar work pieces as it transitions thru and between small gaps of aero-static or hydro-static porous media bearings and differentially pumped vacuum grooves, in a non-contact manner, in order to process within a vacuum environment. The process is also intended to incorporate simultaneous and immediately sequential ordering of various processes.
    Type: Application
    Filed: August 4, 2014
    Publication date: February 5, 2015
    Applicant: NEW WAY MACHINE COMPONENTS, INC.
    Inventor: Andrew J. Devitt
  • Publication number: 20150036969
    Abstract: An apparatus comprising a dispersion plate, a dispersion plate, the dispersion plate including input side openings connected to holes therein, the holes following a torturous path through the dispersion plate and configured to deliver dopants through output side openings of the dispersion plate.
    Type: Application
    Filed: July 31, 2013
    Publication date: February 5, 2015
    Applicant: LGS Innovations LLC
    Inventors: Hugo Safar, Mike Santo, Brijesh Vyas
  • Patent number: 8945675
    Abstract: The present disclosure relates to the deposition of conductive titanium oxide films by atomic layer deposition processes. Amorphous doped titanium oxide films are deposited by ALD processes comprising titanium oxide deposition cycles and dopant oxide deposition cycles and are subsequently annealed to produce a conductive crystalline anatase film. Doped titanium oxide films may also be deposited by first depositing a doped titanium nitride thin film by ALD processes comprising titanium nitride deposition cycles and dopant nitride deposition cycles and subsequently oxidizing the nitride film to form a doped titanium oxide film. The doped titanium oxide films may be used, for example, in capacitor structures.
    Type: Grant
    Filed: May 29, 2008
    Date of Patent: February 3, 2015
    Assignee: ASM International N.V.
    Inventors: Viljami Pore, Mikko Ritala, Markku Leskelä
  • Patent number: 8945691
    Abstract: A fluffy nano-material and method of manufacture are described. At 2000× magnification the fluffy nanomaterial has the appearance of raw, uncarded wool, with individual fiber lengths ranging from approximately four microns to twenty microns. Powder-based nanocatalysts are dispersed in the fluffy nanomaterial. The production of fluffy nanomaterial typically involves flowing about 125 cc/min of organic vapor at a pressure of about 400 torr over powder-based nano-catalysts for a period of time that may range from approximately thirty minutes to twenty-four hours.
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: February 3, 2015
    Assignee: Consolidated Nuclear Security, LLC
    Inventors: Paul A. Menchhofer, Roland D. Seals, Jane Y. Howe, Wei Wang
  • Publication number: 20150030785
    Abstract: A high-temperature insulation assembly for use in high-temperature electrical machines and a method for forming a high-temperature insulation assembly for insulating conducting material in a high-temperature electrical machine. The assembly includes a polymeric film and at least one ceramic coating disposed on the polymeric film. The polymeric film is disposed over conductive wiring or used as a conductor winding insulator for phase separation and slot liner.
    Type: Application
    Filed: October 10, 2014
    Publication date: January 29, 2015
    Inventors: Weijun Yin, Min Yan, Ri-An Zhao
  • Publication number: 20150030885
    Abstract: A chemical vapor deposition process and article formed by a chemical vapor deposition process are disclosed. The process includes pretreating a substrate by exposing the substrate to an oxidative environment for a period of time, decomposing a material to form a layer on the substrate, and functionalizing one or both of the layer and the substrate. The pretreating pre-oxidizes the substrate.
    Type: Application
    Filed: July 25, 2014
    Publication date: January 29, 2015
    Inventor: David A. SMITH
  • Publication number: 20150031157
    Abstract: A system and method for continuous atomic layer deposition. The system and method includes a housing, a moving bed which passes through the housing, a plurality of precursor gases and associated input ports and the amount of precursor gases, position of the input ports, and relative velocity of the moving bed and carrier gases enabling exhaustion of the precursor gases at available reaction sites.
    Type: Application
    Filed: July 23, 2014
    Publication date: January 29, 2015
    Inventors: Jeffrey W. Elam, Angel Yanguas-Gil, Joseph A. Libera
  • Publication number: 20150030772
    Abstract: A chemical vapor deposition raw material for producing a platinum thin film or a platinum compound thin film by a chemical vapor deposition method, wherein the chemical vapor deposition raw material includes an organoplatinum compound having cyclooctadiene and alkyl anions coordinated to divalent platinum, and the organoplatinum compound is represented by the following formula. Here, one in which R1 and R2 are any combination of propyl and methyl, propyl and ethyl, or ethyl and methyl is particularly preferred. wherein R1 and R2 are alkyl groups, and R1 and R2 are different; and a number of carbon atoms of R1 and R2 is 3 to 5 in total.
    Type: Application
    Filed: October 12, 2012
    Publication date: January 29, 2015
    Applicant: TANAKA KIKINZOKU KOGYO K.K.
    Inventors: Masayuki Saito, Kazuharu Suzuki, Toshiyuki Shigetomi, Shunichi Nabeya
  • Patent number: 8940359
    Abstract: The microacoustic component has a substrate that has at least one layer (composed of a dielectric or piezoelectric material, and a metallic strip structure. The layer is composed of a dielectric or piezoelectric material and/or the metallic strip structure have/has been produced or can be produced by the atomic layer deposition method.
    Type: Grant
    Filed: September 1, 2011
    Date of Patent: January 27, 2015
    Assignee: Epcos AG
    Inventors: Christoph Eggs, Gudrun Henn, Werner Ruile, Guenter Scheinbacher, Siegfried Menzel, Mario Spindler
  • Patent number: 8940367
    Abstract: A coating installation includes at least one recipient which can be evacuated and which is provided to receive a substrate, at least one gas supply device which can introduce at least one gaseous precursor into the recipient, and at least one activation device which contains at least one heatable activation element, the end thereof being secured to a securing point on a support element. A shielding element which can protect at least the securing point at least partially against the effect of the gaseous precursor is provided. The shielding element has a longitudinal extension having a first side and a second side, the first side being arranged on the support element and a locking element being arranged on the second side of the shielding element, the locking element having at least one outlet. At least one separation wall is arranged inside the shielding element, the wall separating the inner volume of the shielding element into a first partial volume and into a second partial volume.
    Type: Grant
    Filed: May 13, 2010
    Date of Patent: January 27, 2015
    Assignee: Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V.
    Inventors: Tino Harig, Markus Höfer, Artur Laukart, Lothar Schäfer, Markus Armgardt
  • Patent number: 8936799
    Abstract: The present invention relates to pigments with improved and adjustable sparkling effect comprising A) a plate-like substrate of perlite coated with (a) dielectric material, and/or metal; and B) a plate-like substrate of mica, coated with (a) dielectric material, and/or a metal; and a process for their production and their use in paints, ink jet printing, for dyeing textiles, for pigmenting coatings (paints), printing inks, plastics, cosmetics, glazes for ceramics and glass. The pigments show an improved sparkle effect; in particular an attractive high sparkle intensity.
    Type: Grant
    Filed: October 18, 2010
    Date of Patent: January 20, 2015
    Assignee: BASF SE
    Inventors: Raimund Schmid, Norbert Mronga, Patrice Bujard, Steven Jones, Paul Cao
  • Publication number: 20150017319
    Abstract: Systems and methods of reducing outgassing of a substance within a reaction chamber of a reactor are disclosed. Exemplary methods include depositing a barrier layer within the reaction chamber and using a scavenging precursor to react with species on a surface of the reaction chamber. Exemplary systems include gas-phase deposition systems, such as atomic layer deposition systems, which include a barrier layer source and/or a scavenging precursor source fluidly coupled to a reaction chamber of the system.
    Type: Application
    Filed: July 12, 2013
    Publication date: January 15, 2015
    Inventors: Sung-Hoon Jung, Petri Raisanen, Eric Jen Cheng Liu, Mike Schmotzer
  • Patent number: 8932437
    Abstract: The present invention relates generally to methods for producing a coated jewelry article or a coated component of a jewelry article, comprising a jewelry article or a component of a jewelry article, a first metallic coating, and a second metallic coating.
    Type: Grant
    Filed: June 1, 2012
    Date of Patent: January 13, 2015
    Assignee: Frederick Goldman, Inc.
    Inventor: Andrew Derrig
  • Publication number: 20150011088
    Abstract: Methods are disclosed for depositing material onto and/or etching material from a substrate in a surface processing tool having a processing chamber, a controller and one or more devices for adjusting the process parameters within the chamber. The method comprises: the controller instructing the one or more devices according to a series of control steps, each control step specifying a defined set of process parameters that the one or more devices are instructed to implement, wherein at least one of the control steps comprises the controller instructing the one or more devices to implement a defined set of constant process parameters for the duration of the step, including at least a chamber pressure and gas flow rate through the chamber, which duration is less than the corresponding gas residence time (Tgr) of the processing chamber for the step.
    Type: Application
    Filed: February 27, 2013
    Publication date: January 8, 2015
    Inventors: Mark Edward McNie, Michael Joseph Cooke, Leslie Michael Lea
  • Patent number: 8927748
    Abstract: Organometallic complexes and use thereof in thin film deposition, such as CVD and ALD are provided herein. The organometallic complexes are (alkyl-substituted ?3-allyl)(carbonyl)metal complexes.
    Type: Grant
    Filed: August 8, 2012
    Date of Patent: January 6, 2015
    Assignee: Sigma-Aldrich Co. LLC
    Inventors: Rajesh Odedra, Neil Boag, Jeff Anthis, Ravi Kanjolia, Mark Saly
  • Patent number: 8927434
    Abstract: A method of producing a patterned inorganic thin film dielectric stack includes providing a substrate. A first patterned deposition inhibiting material layer is provided on the substrate. A first inorganic thin film dielectric material layer is selectively deposited on a region of the substrate where the first deposition inhibiting material layer is not present using an atomic layer deposition process. The first deposition inhibiting and first inorganic thin film dielectric material layers are simultaneously treated after deposition of the first inorganic thin film dielectric material layer. A second patterned deposition inhibiting material layer is provided on the substrate. A second inorganic thin film dielectric material layer is selectively deposited on a region of the substrate where the second deposition inhibiting material layer is not present using an atomic layer deposition process.
    Type: Grant
    Filed: August 31, 2012
    Date of Patent: January 6, 2015
    Assignee: Eastman Kodak Company
    Inventors: Carolyn R. Ellinger, David H. Levy, Shelby F. Nelson
  • Patent number: 8927060
    Abstract: There is provided a method for forming a Ge—Sb—Te film having a composition of Ge2Sb2Te5 on a substrate by a CVD method using a gaseous Ge source material, a gaseous Sb source material and a gaseous Te source material. The method includes loading the substrate within a processing chamber (Process 1); performing a first stage film forming process on the substrate by supplying the gaseous Ge source material and the gaseous Sb source material (Process 2); and performing a second stage film forming process on a film obtained through the first stage film forming process by supplying the gaseous Sb source material and the gaseous Te source material (Process 3). The Ge—Sb—Te film is formed by the film obtained through Process 2 and by a film obtained through Process 3.
    Type: Grant
    Filed: June 2, 2010
    Date of Patent: January 6, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Yumiko Kawano, Susumu Arima
  • Publication number: 20150005447
    Abstract: Some embodiments described herein relate to a substrate with a surface comprising a silane or a silane derivative covalently attached to optionally substituted cycloalkene or optionally substituted heterocycloalkene for direct conjugation with a functionalized molecule of interest, such as a polymer, a hydrogel, an amino acid, a nucleoside, a nucleotide, a peptide, a polynucleotide, or a protein. In some embodiments, the silane or silane derivative contains optionally substituted norbornene or norbornene derivatives. Method for preparing a functionalized surface and the use in DNA sequencing and other diagnostic applications are also disclosed.
    Type: Application
    Filed: June 26, 2014
    Publication date: January 1, 2015
    Inventors: Lorenzo Berti, Andrew A. Brown, Wayne N. George
  • Publication number: 20150004318
    Abstract: A nozzle and nozzle head arranged to subject a surface of a substrate to gaseous precursors. The nozzle includes an output face via which the precursor is supplied, a longitudinal precursor supply element for supplying precursor and a longitudinal discharge channel open to and along the output face for discharging at least a fraction of the precursor supplied from the precursor channel. The precursor supply element is arranged to extend inside the discharge channel such that the precursor supply element divides the discharge channel in the longitudinal direction to a first discharge sub-channel and a second discharge sub-channel on opposite sides of the precursor supply element for supplying precursor through the discharge channel.
    Type: Application
    Filed: February 12, 2013
    Publication date: January 1, 2015
    Inventors: Tapani Alasaarela, Pekka Soininen, Mika Jauhiainen
  • Publication number: 20150004319
    Abstract: A vapor phase growth method is disclosed. The method includes a step of preparing a substrate in a chamber, a first step of adsorbing only a first element to the substrate by supplying a first source material into the chamber, a second step of stopping supply of the first source material into the chamber, a third step of adsorbing only a second element to the substrate by supplying a second source material into the chamber, wherein the supply of the second source material is started while the first source material remains in an atmosphere of the chamber, a fourth step of stopping supply of the first source material into the chamber, and a fifth step of repeating from the first step to the fourth step.
    Type: Application
    Filed: June 27, 2014
    Publication date: January 1, 2015
    Inventor: Chihoko MIZUE
  • Publication number: 20140366806
    Abstract: The present invention relates to a film formation apparatus for forming thin films having high gas barrier performance, such as a DLC (Diamond Like Carbon) film, SiOx film, SiOC film, SiOCN film, SiNx film, and AIOx film, on the inner surface and/or outer surface of containers such as PET bottles. The film formation apparatus is provided with: a vacuum chamber for forming, in a vacuum state, a film on a surface of a container (4) using a heat generating element (21); a vacuum evacuation device for vacuumizing the vacuum chamber; and a relative shifting device for relatively shifting the container (4) and the heat generating element (21) in the vacuum chamber after starting vacuumization of the vacuum chamber.
    Type: Application
    Filed: December 26, 2012
    Publication date: December 18, 2014
    Applicant: KIRIN BEER KABUSHIKI KAISHA
    Inventors: Hiroyuki Ooshima, Keiichi Fujimoto, Hiroyasu Tabuchi, Masaki Nakaya
  • Publication number: 20140370300
    Abstract: A coated article and a chemical vapor deposition process are disclosed. The coated article includes a functionalized layer applied to the coated article by chemical vapor deposition. The functionalized layer is a layer selected from the group consisting of an oxidized-then-functionalized layer, an organofluoro treated layer, a fluorosilane treated layer, a trimethylsilane treated surface, an organofluorotrialkoxysilanes treated layer, an organofluorosilylhydrides-treated layer, an organofluoro silyl treated layer, a tridecafluoro 1,1,2,2-tetrahydrooctylsilane treated layer, an organofluoro alcohol treated layer, a pentafluoropropanol treated layer, an allylheptafluoroisopropyl ether treated layer, a (perfluorobutyl) ethylene treated layer, a (perfluorooctyl) ethylene treated layer, and combinations thereof. The process includes applying the functionalized layer.
    Type: Application
    Filed: August 28, 2014
    Publication date: December 18, 2014
    Inventor: David A. SMITH
  • Publication number: 20140363574
    Abstract: Ceramic matrix composite materials and a process for making said composite materials are disclosed.
    Type: Application
    Filed: December 26, 2013
    Publication date: December 11, 2014
    Inventors: Andrew J. Lazur, Adam L. Chamberlain
  • Publication number: 20140356550
    Abstract: A film forming apparatus includes a first supply unit configured to supply a first reaction gas into the reaction vessel under an environment of a first pressure, a second supply unit configured to supply a second reaction gas into the reaction vessel under an environment of a second pressure lower than the first pressure, a first vacuum exhaust mechanism connected to the reaction vessel through a first exhaust path in order to create the environment of the first pressure within the reaction vessel, a second vacuum exhaust mechanism connected to the reaction vessel through a second exhaust path in order to create the environment of the second pressure, the second vacuum exhaust mechanism being lower in an operation pressure zone than the first vacuum exhaust mechanism, and a switching unit configured to switch exhaust destinations of the reaction vessel between the first path and the second path.
    Type: Application
    Filed: May 29, 2014
    Publication date: December 4, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yamato TONEGAWA, Katsutoshi ISHII
  • Publication number: 20140356535
    Abstract: The present invention relates to a method for manufacturing polysilicon. According to the present invention, meltdown can be prevented during the growth of silicon rod, and a polycrystalline silicon rod having a larger diameter can be shortly manufactured with a minimal consumption of energy.
    Type: Application
    Filed: September 26, 2012
    Publication date: December 4, 2014
    Inventors: Hyun-Cheol Ryu, Jea Sung Park, Dong-Ho Lee, Eun-Jeong Kim, Gui Ryong Ahn, Sung Eun Park
  • Patent number: 8900663
    Abstract: Methods and systems for coating articles are described herein. The methods and systems described herein include, but are not limited to, steps for actively or passively controlling the temperature during the coating process, steps for providing intimate contact between the substrate and the support holding the substrate in order to maximize energy transfer, and/or steps for preparing gradient coatings. Methods for depositing high molecular weight polymeric coatings, end-capped polymer coatings, coatings covalently bonded to the substrate or one another, metallic coatings, and/or multilayer coatings are also disclosed. Deposition of coatings can be accelerated and/or improved by applying an electrical potential and/or through the use of inert gases.
    Type: Grant
    Filed: December 28, 2010
    Date of Patent: December 2, 2014
    Assignee: GVD Corporation
    Inventors: Erik S. Handy, Aleksander J. White, W. Shannan O'Shaughnessy, Hilton G. Pryce Lewis, Neeta P. Bansal, Karen K. Gleason
  • Publication number: 20140349469
    Abstract: This disclosure provides systems, methods and apparatus for processing multiple substrates in a processing tool. An apparatus for processing substrates can include a process chamber, a common reactant source, and a common exhaust pump. The process chamber can be configured to process multiple substrates. The process chamber can include a plurality of stacked individual subchambers. Each subchamber can be configured to process one substrate. The common reactant source can be configured to provide reactant to each of the subchambers in parallel. The common exhaust pump can be connected to each of the subchambers.
    Type: Application
    Filed: May 22, 2013
    Publication date: November 27, 2014
    Applicant: QUALCOMM MEMS TECHNOLOGIES, INC.
    Inventors: Teruo Sasagawa, Sandeep K. Giri, Ana R. Londergan, Shih-chou Chiang
  • Patent number: 8895107
    Abstract: A chemical vapor deposition reactor and method. Reactive gases, such as gases including a Group III metal source and a Group V metal source, are introduced into a rotating-disc reactor and directed downwardly onto a wafer carrier and substrates which are maintained at an elevated substrate temperature, typically above about 400° C. and normally about 700-1100° C. to deposit a compound such as a III-V semiconductor. The gases are introduced into the reactor at an inlet temperature desirably above about 75° C. and most preferably about 100°-250° C. The walls of the reactor may be at a temperature close to the inlet temperature. Use of an elevated inlet temperature allows the use of a lower rate of rotation of the wafer carrier, a higher operating pressure, lower flow rate, or some combination of these.
    Type: Grant
    Filed: November 6, 2008
    Date of Patent: November 25, 2014
    Assignee: Veeco Instruments Inc.
    Inventors: Alex Gurary, Mikhail Belousov, Bojan Mitrovic
  • Patent number: 8895457
    Abstract: To provide a method of manufacturing a semiconductor device, including: forming a thin film different from a silicon oxide film on a substrate by supplying a processing gas into a processing vessel in which the substrate is housed; removing a deposit including the thin film adhered to an inside of the processing vessel by supplying a fluorine-containing gas into the processing vessel after executing forming the thin film prescribed number of times; and forming a silicon oxide film having a prescribed film thickness on the inside of the processing vessel by alternately supplying a silicon-containing gas, and an oxygen-containing gas and a hydrogen-containing gas into the heated processing vessel in which a pressure is set to be less than an atmospheric pressure after removing the deposit.
    Type: Grant
    Filed: February 18, 2011
    Date of Patent: November 25, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Naonori Akae, Kotaro Murakami, Yoshiro Hirose, Kenji Kameda
  • Patent number: 8889551
    Abstract: A deposition device includes a deposition source for discharging a deposition material to be deposited on a substrate, an angle control member at least partly in a discharging path of the deposition material for controlling a discharging angle of the deposition material, and an angle control member driver coupled to the angle control member, the angle control member driver for moving the angle control member in a discharging direction of the deposition material to control the discharging angle.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: November 18, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventor: Sang-Woo Lee
  • Publication number: 20140335272
    Abstract: In the method, silver is protected against tarnishing using an Atomic Layer Deposition method. In the Atomic Layer Deposition method, a thin film coating is formed on the surface of silver by depositing successive molecule layers of the coating material. For example aluminium oxide (Al2O3) or zirconium oxide may be used as the coating material.
    Type: Application
    Filed: July 29, 2014
    Publication date: November 13, 2014
    Applicant: BENEQ OY
    Inventors: Milja MAKELA, Pekka SOININEN, Sami SNECK
  • Publication number: 20140334106
    Abstract: Embodiments herein relate to a heat sink having nano- and/or micro-replication directly embossed in a bulk solidifying amorphous alloy comprising a metal alloy, wherein the heat sink is configured to transfer heat out of the heat sink by natural convection by air or forced convection by air, or by fluid phase change of a fluid and/or liquid cooling by a liquid. Other embodiments relate apparatus having the heat sink. Yet other embodiments relate to methods of manufacturing the heat sink and apparatus having the heat sink.
    Type: Application
    Filed: October 20, 2011
    Publication date: November 13, 2014
    Inventors: Christopher D. Prest, Joseph Poole, Joseph W. Stevick, Quoc Tran PHAM, Theodore Andrew Waniuk
  • Patent number: 8883257
    Abstract: Disclosed herein is a method for producing a plastic container coated with a thin film that is excellent in gas barrier properties, film coloration and film adhesiveness without using an external electrode having a special shape while suppressing deposition of foreign matters such as carbon powders.
    Type: Grant
    Filed: June 25, 2009
    Date of Patent: November 11, 2014
    Assignee: Kirin Beer Kabushiki Kaisha
    Inventors: Masaki Nakaya, Mari Shimizu
  • Patent number: 8877291
    Abstract: The present invention provides a thin film manufacturing method which realizes stable, highly-efficient film formation using a nozzle-type evaporation source while avoiding unnecessary scattering and deposition of a film formation material before the start of the film formation. Used is a film forming apparatus including: an evaporation chamber 16; a film forming chamber 17 in which a substrate 21 is provided; an evaporation source 19 holding a film formation material 15 and including an opening surface 14; a moving mechanism 35 configured to cause the evaporation source 19 to move; and a conductance variable structure 34. The film forming chamber 17 and the evaporation chamber 16 are evacuated. In a state where the differential pressure between these chambers can be secured by the conductance variable structure 34, the nonreactive gas is introduced to the evaporation chamber 16 to adjust the pressure in the evaporation chamber 16 to predetermined pressure or more.
    Type: Grant
    Filed: June 1, 2011
    Date of Patent: November 4, 2014
    Assignee: Panasonic Corporation
    Inventors: Kazuyoshi Honda, Kunihiko Bessho, Takashi Shimada