Glow Discharge Sputter Deposition (e.g., Cathode Sputtering, Etc.) Patents (Class 204/192.12)
  • Patent number: 10072330
    Abstract: A shield mask mounting fitting includes a shied mask to be mounted on a chamber wall of a sputtering apparatus, the shield mask including a fixing hole, a fixing bolt connecting the shield mask to the chamber wall through the fixing hole, a cap hook surrounding a top of the fixing bolt, a bushing extending between a surface of the shield mask and the cap hook, and a shield cap engaged with the cap hook and covering the top of the fixing bolt, the shield cap extending beyond the cap hook to omnidirectionally cover a periphery of the fixing hole, wherein one of the cap hook and the shield cap has an asymmetric structure with respect to an axis extending through a center of the fixing bolt.
    Type: Grant
    Filed: September 2, 2015
    Date of Patent: September 11, 2018
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventor: Jong Yun Kim
  • Patent number: 10056238
    Abstract: The invention provides a sputter deposition assembly that includes a sputtering chamber, a sputtering target, and a magnet assembly. The magnet assembly includes a magnetic backing plate with a blind recess into which a moveable magnetic control body can be adjustably disposed.
    Type: Grant
    Filed: June 27, 2016
    Date of Patent: August 21, 2018
    Assignee: Cardinal CG Company
    Inventor: Klaus H. W. Hartig
  • Patent number: 10049849
    Abstract: An x-ray emitter includes a housing. In an embodiment, the method for assembling the housing includes producing a housing of the x-ray emitter and assembling the housing. The producing includes providing a material including a plurality of ferromagnetic particles, aligning the ferromagnetic particles through a magnetic field, the material being in a flowable state, and solidifying the material and fixing the alignment of the ferromagnetic particles.
    Type: Grant
    Filed: February 14, 2018
    Date of Patent: August 14, 2018
    Assignee: SIEMENS HEALTHCARE GMBH
    Inventors: Rainer Kuth, Nils Pickert
  • Patent number: 10043643
    Abstract: In various embodiments, an end block assembly for rotatably mounting a tubular electrode in a processing chamber is provided. The end block assembly includes a receptacle region for receiving a bearing assembly which has a coupling region for coupling the tubular electrode thereto, the bearing assembly of which the coupling region is supported by a sleeve of the bearing assembly. The sleeve is plug-fitted into the receptacle region. The sleeve is joined together from a plurality of segments, the external faces thereof forming a lateral surface of the bearing assembly and at least two segments thereof being formed from dissimilar materials. The external faces of the two segments are mutually aligned such that they are flush with one another.
    Type: Grant
    Filed: May 10, 2016
    Date of Patent: August 7, 2018
    Assignee: VON ARDENNE Asset GmbH & Co. KG
    Inventors: Sebastian Siegert, Gerit Stude, Gerd Arnold
  • Patent number: 10032872
    Abstract: To manufacture a semiconductor device using an oxide semiconductor with high reliability and less variation in electrical characteristics, objects are to provide a method for manufacturing a semiconductor device with which an oxide semiconductor film with a fairly uniform thickness is formed, a manufacturing apparatus, and a method for manufacturing a semiconductor device with the manufacturing apparatus. In order to form an oxide semiconductor film with a fairly uniform thickness with use of a sputtering apparatus, an oxide semiconductor film the thickness uniformity of which is less than ±3%, preferably less than or equal to ±2% is formed by using a manufacturing apparatus in which a deposition chamber is set to have a reduced pressure atmosphere, preferably, to have a high degree of vacuum and power is adjusted to be applied uniformly to the entire surface of a substrate during film deposition.
    Type: Grant
    Filed: May 14, 2014
    Date of Patent: July 24, 2018
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 10026971
    Abstract: A fuel cell stack includes a plurality of cells that are stacked in a stacking direction. Each cell includes a power generating body and a pair of separators. The separators respectively are arranged on opposite surfaces of the power generating body in the stacking direction. Each separator includes a first surface and a second surface. A titanium nitride layer is formed on the first surface, and a conductive carbon layer is formed on the titanium nitride layer. A titanium nitride layer is formed on the second surface. Each separator is in contact with the power generating body via the titanium nitride layer and the carbon layer on the first surface and is in contact with one of the separators of an adjacent cell via the titanium nitride layer on the second surface.
    Type: Grant
    Filed: March 7, 2016
    Date of Patent: July 17, 2018
    Assignee: Toyota Shatai Kabushiki Kaisha
    Inventors: Kotaro Ikeda, Yukihiro Suzuki, Eiichiro Morozumi, Takatoshi Asaoka
  • Patent number: 10000844
    Abstract: A magnetic field generator arranged behind a target and for generating a magnetic field on a front surface of the target based on magnetic force lines can include a ring-shaped outer magnetic body having a pole axis in a parallel direction (X-direction) with respect to the target surface, a center magnetic body arranged on an inner side of the outer magnetic body and having a pole axis in a parallel direction (X-direction) with the direction of the pole axis of the outer magnetic body, a yoke plate for supporting the outer magnetic body and the center magnetic body from behind, and a magnetic permeable plate for changing a magnetic field distribution of the front surface of the target. The magnetic permeable plate is arranged so as to be supported by the yoke plate from behind.
    Type: Grant
    Filed: August 30, 2011
    Date of Patent: June 19, 2018
    Assignees: SHINCRON CO., LTD., UNIVERSITY OF SCIENCE AND TECHNOLOGY OF CHINA
    Inventors: Wei Kong, Zijing Lin, Ming Li, Bin Xie, Haiqian Wang, Yousong Jiang, Ekishu Nagae
  • Patent number: 9984915
    Abstract: According to various embodiments, a semiconductor wafer may include: a semiconductor body including an integrated circuit structure; and at least one tetrahedral amorphous carbon layer formed at least one of over or in the integrated circuit structure, the at least one tetrahedral amorphous carbon layer may include a substance amount fraction of sp3-hybridized carbon of larger than approximately 0.4 and a substance amount fraction of hydrogen smaller than approximately 0.1.
    Type: Grant
    Filed: May 30, 2014
    Date of Patent: May 29, 2018
    Assignee: Infineon Technologies AG
    Inventors: Matthias Kuenle, Gerhard Schmidt, Martin Sporn, Markus Kahn, Juergen Steinbrenner, Ravi Joshi
  • Patent number: 9963773
    Abstract: This disclosure is directed to an optic having a composited MgO—MgF2 infrared anti-reflective coating that is suitable for use in LWIR, MWIR and SWIR ranges, and is particularly suitable for use in the LWIR range. The coated optic disclosed herein passes the severe abrasion test with a barring force between 2 pounds and 2.5 pounds. The MgO—MgF2 infrared anti-reflective coating has a thickness in the range of 500 nm to 1500 nm and a reflectance value Rx at 12° of less than 2% in the wavelength range of 7.25 nm to 11.75 nm.
    Type: Grant
    Filed: March 6, 2017
    Date of Patent: May 8, 2018
    Assignee: Corning Incorporated
    Inventors: Horst Schreiber, Jue Wang, Scott J Wilkinson
  • Patent number: 9957609
    Abstract: A process in which both an optical coating, for example, an AR coating, and an ETC coating are deposited on a glass substrate article, in sequential steps, with the optical coating being deposited first and the ETC coating being deposited second, using the same apparatus and without exposing the article to the atmosphere at any time during the application of the optical coating and ETC coating.
    Type: Grant
    Filed: May 30, 2013
    Date of Patent: May 1, 2018
    Assignee: CORNING INCORPORATED
    Inventors: Christopher Morton Lee, Xiao-feng Lu, Xu Ouyang, Junhong Zhang
  • Patent number: 9953808
    Abstract: Provided is an arc evaporation source for melting and evaporating a cathode material by arc discharge for film formation on a surface of a substrate, and including a cathode formed in a substantially disc shape and a magnetic field generating apparatus, disposed at a back side of the cathode. The magnetic field generating apparatus generates a magnetic field which forms magnetic lines that form an acute angle with respect to a substrate direction at an outer circumferential surface of the cathode, magnetic lines that are substantially perpendicular to the discharge surface at an outermost circumference part of the discharge surface of the cathode, and magnetic lines that form an acute angle with respect to a center direction of the cathode at a region towards the outer circumferential surface of the discharge surface of the cathode, by at least one permanent magnet disposed at the back side of the cathode.
    Type: Grant
    Filed: April 30, 2013
    Date of Patent: April 24, 2018
    Assignee: NIPPON ITF, INC.
    Inventors: Naoto Okazaki, Ken Yoshihara, Hiroshi Ishizuka, Tomoyasu Matsuno, Shinji Narahara
  • Patent number: 9951415
    Abstract: A film deposition apparatus comprises: a vacuum chamber; a cylindrical target, a circumferential surface of the target being opposite to a substrate, and the target being disposed in the vacuum chamber so as to intersect a conveyance direction of the substrate; a driving unit configured to rotatively drive the target; a magnetic field creator disposed inside the target; a reactive gas flow unit configured to flow a reactive gas, the reactive gas flow unit being disposed in the vicinity of the target; an optical emission monitor configured to monitor an optical emission intensity of plasma at a location between the substrate and the target and in the vicinity of the target; and a controlling unit configured to control a rotation speed of the target driven by the driving unit, such that the optical emission intensity monitored by the optical emission monitor approaches a preset target optical emission intensity.
    Type: Grant
    Filed: April 19, 2016
    Date of Patent: April 24, 2018
    Assignees: Canon Tokki Corporation, Canon Kabushiki Kaisha
    Inventors: Yasuo Murakami, Kazuhiro Hoshino, Toru Sato, Takashi Takemi, Satoshi Nakamura, Tomohiro Kumaki
  • Patent number: 9951414
    Abstract: A magnetically enhanced HDP-CVD plasma source includes a hollow cathode target and an anode. The anode and cathode form a gap. A cathode target magnet assembly forms magnetic field lines that are substantially perpendicular to a cathode target surface. The gap magnet assembly forms a cusp magnetic field in the gap that is coupled with the cathode target magnetic field. The magnetic field lines cross a pole piece electrode positioned in the gap. This pole piece is isolated from ground and can be connected with a voltage power supply. The pole piece can have a negative, positive, or floating electric potential. The plasma source can be configured to generate volume discharge. The gap size prohibits generation of plasma discharge in the gap. By controlling the duration, value and a sign of the electric potential on the pole piece, the plasma ionization can be controlled. The magnetically enhanced HDP-CVD source can also be used for chemically enhanced ionized physical vapor deposition (CE-IPVD).
    Type: Grant
    Filed: September 9, 2016
    Date of Patent: April 24, 2018
    Assignee: IONQUEST LLC
    Inventors: Roman Chistyakov, Bassam Hanna Abraham
  • Patent number: 9941102
    Abstract: Work piece processing is performed by pulsed discharges between an anode (2) and a magnetron sputtering cathode (1) in solid-gas plasmas using a chamber (2) containing the work piece (7). A system (12) maintains a vacuum in the chamber and another system (14) provides sputtering and reactive gases. The pulses are produced in a plasma pulser circuit including the anode and the cathode, the discharges creating gas and partially ionized solid plasma blobs (3) moving or spreading from a region at a surface of the cathode towards the work piece and the anode. A pulsed current comprising biasing pulses arises between the second electrodes. Biasing discharges are produced between the anode and the work piece when said plasma blobs have spread to regions at the anode and at the work piece so that the pulsed current is the current of these biasing discharges.
    Type: Grant
    Filed: September 10, 2012
    Date of Patent: April 10, 2018
    Assignee: CemeCon AG
    Inventor: Vladimir Kouznetsov
  • Patent number: 9932667
    Abstract: A target assembly comprising—a support body having a carrying surface; —a sputtering target having an attaching surface, said carrying surface and said attaching surface being arranged in opposing facing relation to one another, thereby defining an intermediate space between said carrying surface and said attaching surface; and—a bonding material disposed in the intermediate space for binding said attaching surface to said carrying surface, —wherein distinct areas of one or both of said attaching surface and said carrying surface are selectively, superficially treated to enhance the bonding strength of said bonding material in said distinct areas.
    Type: Grant
    Filed: May 23, 2011
    Date of Patent: April 3, 2018
    Assignee: VITAL THIN FILM MATERIALS (GUANGDONG) CO., LTD.
    Inventors: Jong-Won Shin, Nikolaus Margadant, Klaus Leitner
  • Patent number: 9909207
    Abstract: A process to deposit a metallic coating on a non-metallic substrate by ion vapor deposition. The substrate is inserted into a stream of ions and vapor of the coating with a first side of the substrate facing a first electric grid. The substrate is at the same voltage potential as the first electric grid and a primer coating is deposited on the first side. The primer coated first side is next coated to a desired thickness by insertion into the ion stream with the substrate at a negative potential relative to the first grid. The substrate is then rotated so the second side is facing the first grid with the substrate at a negative potential relative the first grid for a time effective to deposit the coating to a desired thickness. One composite material is a laser sintered thermoplastic substrate with an aluminum or an aluminum base alloy coating.
    Type: Grant
    Filed: August 18, 2015
    Date of Patent: March 6, 2018
    Assignee: CAMETOID TECHNOLOGIES, INC.
    Inventor: Timothy Cranford
  • Patent number: 9911583
    Abstract: An apparatus has a primary cathode configured for free space interaction with a substrate operative as an anode. A first annular cathode faces a second annular cathode. The primary cathode, the first annular cathode, the second annular cathode are axially aligned. The outer diameters of the first annular cathode and the second annular cathode correspond to the outer diameter of the primary cathode. The primary cathode provisions deposited material on the substrate with controllable plasma density to levels above 1×1018 m?3, with ignition capability above 0.05 Pa.
    Type: Grant
    Filed: March 9, 2016
    Date of Patent: March 6, 2018
    Assignee: HIA, Inc.
    Inventors: Samuel D. Harkness, IV, Quang N. Tran
  • Patent number: 9905399
    Abstract: The invention relates to a method for operating a pulsed discontinuous spark discharge. The spark is fed via a capacitor. Between the pulses there are switched-off time intervals during which no spark current flows. Within the pulses, that is to say during the switched-on time intervals, the supply of charge is stopped upon a current threshold being reached and is restarted, with the result that subpulses occur within the pulses. The time intervals and subpulses are chosen according to the invention such that when the capacitor is switched on again, the spark discharge readily ignites again.
    Type: Grant
    Filed: March 26, 2012
    Date of Patent: February 27, 2018
    Assignee: OERLIKON SURFACE SOLUTIONS AG, PFÄFFIKON
    Inventors: Jürgen Ramm, Beno Widrig, Kurt Ruhm
  • Patent number: 9906210
    Abstract: The present invention relates to a method for providing power pulses for PVD sputter cathodes which comprise a power consumption component and a cathode element, wherein during a power increase interval for a generator the power on the power consumption component is decreased and then the power on the cathode element is decreased, with changeover being effected such that the power draw from the generator providing the power does not have to be interrupted.
    Type: Grant
    Filed: October 8, 2012
    Date of Patent: February 27, 2018
    Assignee: OERLIKON SURFACE SOLUTIONS AG, PFÄFFIKON
    Inventors: Siegfried Krassnitzer, Daniel Lendi, Markus Lechthaler
  • Patent number: 9897724
    Abstract: The present invention relates to an optical device and a method of in situ treating an optical component (2, 6, 13) reflecting EUV and/or soft X-ray radiation in said optical device, said optical component (2, 6, 13) being arranged in a vacuum chamber (14) of said optical device and comprising one or several reflecting surfaces (3) having a top layer of one or several surface materials. In the method, a source (1, 5) of said one or several surface materials is provided in said chamber (14) of said optical device and surface material from said source (1, 5) is deposited on said one or several reflecting surfaces (3) during operation and/or during operation-pauses of said optical device in order to cover or substitute deposited contaminant material and/or to compensate for ablated surface material.
    Type: Grant
    Filed: August 3, 2015
    Date of Patent: February 20, 2018
    Assignee: KONINKLIJKE PHILIPS N.V.
    Inventors: Peter Zink, Christof Metzmacher, Rolf Theo Anton Apetz
  • Patent number: 9895004
    Abstract: A mat with a thermostatic layer between two layers of foam to reduce heat accumulation and the manufacturing process thereof, which includes a supporting foam layer, an air permeable foam layer, and the thermostatic layer; wherein the thermostatic layer lies on the top side of the supporting foam layer and includes phase change material (PCM) microcapsules and a bonding material; the air permeable foam layer has larger pores than the supporting foam layer, and has its bottom side attached to the thermostatic layer, and is thus bonded to the supporting foam layer via the bonding material. When a user lies on the air permeable foam layer, the user's body skin is not directly pressed against the PCM microcapsules, thereby allowing the PCM microcapsules to communicate with the ambient air through the pores in the air permeable foam layer and to dissipate heat rapidly for keeping the mat cool.
    Type: Grant
    Filed: July 21, 2017
    Date of Patent: February 20, 2018
    Assignee: SEDA CHEMICAL PRODUCTS CO., LTD.
    Inventors: Wei-Kuan Wang, Jung-Hung Su
  • Patent number: 9892890
    Abstract: A narrow sputtering source and target which are designed to be installed in a series on a sputtering chamber. Each of the narrow sputtering source has length sufficient to traverse one direction of the sputtering zone, but is much narrower than the orthogonal direction of the sputtering zone. When the sputtering chamber performs a pass-by sputtering process, each of the narrow sputtering sources is sufficiently long to traverse the sputtering zone in the direction orthogonal to the substrate travel direction, but is much narrower than the sputtering zone in the direction of substrate travel. Several narrow sputtering sources are installed so as to traverse the entire sputtering zone in all directions.
    Type: Grant
    Filed: April 26, 2013
    Date of Patent: February 13, 2018
    Assignee: INTEVAC, INC.
    Inventors: Terry Bluck, Alex Riposan
  • Patent number: 9887072
    Abstract: The present disclosure is directed to a material layer deposition system. The material layer deposition system includes a wafer pedestal configured to support at least one wafer within a confinement shield structure and a target carrier structure positioned above the wafer pedestal at an opposite side of the confinement shield structure. The target carrier structure is configured to support a sputtering target. The material layer deposition system further includes a collimator disposed within the confinement shield structure between the wafer pedestal and the target carrier structure, an electrical power source coupled to the collimator to supply electrical power, and a control system configured to control the electrical power source coupled to the collimator.
    Type: Grant
    Filed: January 23, 2014
    Date of Patent: February 6, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shing-Chyang Pan, Ching-Hua Hsieh, Ming-Hsing Tsai, Syun-Ming Jang
  • Patent number: 9887073
    Abstract: A physical vapor deposition system includes a chamber, a cover plate, a pedestal, and a collimator. The cover plate is disposed on the chamber for holding a target. The pedestal is disposed in the chamber for supporting a wafer. The collimator is mounted between the cover plate and the pedestal. The collimator includes a plurality of sidewall sheets together forming a plurality of passages. At least one of the passages has an entrance and an exit opposite to the entrance. The entrance faces the cover plate, and the exit faces the pedestal. A thickness of one of the sidewall sheets at the entrance is thinner than a thickness of the sidewall sheet at the exit.
    Type: Grant
    Filed: February 13, 2015
    Date of Patent: February 6, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chih-Chien Chi, Hung-Wen Su, Pei-Hsuan Lee
  • Patent number: 9882090
    Abstract: A method for producing an optoelectronic component is disclosed. A first layer which has a dielectric to the surface of a semiconductor crystal. A photoresist layer is applied and structured on the first layer. The photoresist layer is structured in such a way that the photoresist layer has an opening, The first layer is partially separated in order to expose a lateral region of the surface. A contact area having a first metal is applied in the lateral region of the surface. The photoresist layer is removed. A second layer, which comprises an optically transparent, electrically conductive material, and a third layer, which comprises a second metal, are applied.
    Type: Grant
    Filed: April 24, 2014
    Date of Patent: January 30, 2018
    Assignee: OSRAM Opto Semiconductors GmbH
    Inventors: Markus Bröll, Christoph Klemp, Wolfgang Schmid
  • Patent number: 9875922
    Abstract: A system for processing substrates has a vacuum enclosure and a processing chamber situated to process wafers in a processing zone inside the vacuum enclosure. Two rail assemblies are provided, one on each side of the processing zone. Two chuck arrays ride, each on one of the rail assemblies, such that each is cantilevered on one rail assemblies and support a plurality of chucks. The rail assemblies are coupled to an elevation mechanism that places the rails in upper position for processing and at lower position for returning the chuck assemblies for loading new wafers. A pickup head assembly loads wafers from a conveyor onto the chuck assemblies. The pickup head has plurality of electrostatic chucks that pick up the wafers from the front side of the wafers. Cooling channels in the processing chucks are used to create air cushion to assist in aligning the wafers when delivered by the pickup head.
    Type: Grant
    Filed: April 14, 2016
    Date of Patent: January 23, 2018
    Assignee: INTEVAC, INC.
    Inventors: Terry Pederson, Henry Hieslmair, Moon Chun, Vinay Prabhakar, Babak Adibi, Terry Bluck
  • Patent number: 9873945
    Abstract: An apparatus includes a substrate support having an outer surface for guiding the substrate through a first vacuum processing region and at least one second vacuum processing region. First and second deposition sources correspond to the first processing region and at least one second deposition source corresponds to the at least one second vacuum processing region, wherein at least the first deposition source includes an electrode having a surface that opposes the substrate support. A processing gas inlet and a processing gas outlet are arranged at opposing sides of the surface of the electrode. At least one separation gas inlet how one or more openings, wherein the one or more openings are at least provided at one of opposing sides of the electrode surface such that the processing gas inlet and/or the processing gas outlet are provided between the one or more openings and the surface of the electrode.
    Type: Grant
    Filed: April 26, 2013
    Date of Patent: January 23, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Neil Morrison, Jose Manuel Dieguez-Campo, Heike Landgraf, Tobias Stolley, Stefan Hein, Florian Ries, Wolfgang Buschbeck
  • Patent number: 9865696
    Abstract: A deposition technique for forming an oxynitride film is provided. A highly reliable semiconductor element is manufactured with the use of the oxynitride film. The oxynitride film is formed with the use of a sputtering target including an oxynitride containing indium, gallium, and zinc, which is obtained by sintering a mixture of at least one of indium nitride, gallium nitride, and zinc nitride as a raw material and at least one of indium oxide, gallium oxide, and zinc oxide in a nitrogen atmosphere. In this manner, the oxynitride film can contain nitrogen at a necessary concentration. The oxynitride film can be used for a gate, a source electrode, a drain electrode, or the like of a transistor.
    Type: Grant
    Filed: November 3, 2014
    Date of Patent: January 9, 2018
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 9856558
    Abstract: In a plasma enhanced physical vapor deposition of a material onto workpiece, a metal target faces the workpiece across a target-to-workpiece gap less than a diameter of the workpiece. A carrier gas is introduced into the chamber and gas pressure in the chamber is maintained above a threshold pressure at which mean free path is less than 5% of the gap. RF plasma source power from a VHF generator is applied to the target to generate a capacitively coupled plasma at the target, the VHF generator having a frequency exceeding 30 MHz. The plasma is extended across the gap to the workpiece by providing through the workpiece a first VHF ground return path at the frequency of the VHF generator.
    Type: Grant
    Filed: March 14, 2008
    Date of Patent: January 2, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Daniel J. Hoffman, Karl M. Brown, Ying Rui, John Pipitone
  • Patent number: 9859542
    Abstract: A battery element includes a substrate with a plurality of trenches extending into the substrate. At least a part of each trench of the plurality of trenches is filled with a solid state battery structure. Further, the battery element includes a front side battery element electrode arranged at a front side of the substrate and electrically connected to a first electrode layer of the solid state battery structures within the plurality of trenches. Additionally, the battery element includes a backside battery element electrode arranged at a backside of the substrate and electrically connected to a second electrode layer of the solid state battery structures within the plurality of trenches.
    Type: Grant
    Filed: March 28, 2014
    Date of Patent: January 2, 2018
    Assignee: Infineon Technologies AG
    Inventors: Rolf Weis, Marko Lemke
  • Patent number: 9837248
    Abstract: In an ion implantation apparatus, an interruption member interrupts an ion beam B in the middle of a beam line. A plasma shower device is provided at the downstream side of the interruption member in the beam line. A control unit causes the interruption member to interrupt the ion beam B during an ignition start period of the plasma shower device. The interruption member may be provided at the upstream side of at least one high-voltage electric field type electrode in the beam line. A gas supply unit may supply a source gas to the plasma shower device. The control unit may start the supply of the source gas from the gas supply unit after the ion beam B is interrupted by the interruption member.
    Type: Grant
    Filed: January 26, 2015
    Date of Patent: December 5, 2017
    Assignee: SUMITOMO HEAVY INDUSTRIES ION TECHNOLOGY CO., LTD.
    Inventors: Masahide Ooura, Daisuke Imai, Shiro Ninomiya
  • Patent number: 9831353
    Abstract: The semiconductor device includes a first insulator over a substrate, a first oxide semiconductor over the first insulator, a second oxide semiconductor over the first oxide semiconductor, a first conductor and a second conductor in contact with the second oxide semiconductor, a third oxide semiconductor on the second oxide semiconductor and the first and second conductors, a second insulator over the third oxide semiconductor, and a third conductor over the second insulator. At least one of the first oxide semiconductor, the second oxide semiconductor, and the third oxide semiconductor has a crystallinity peak that corresponds to a (hkl) plane (h=0, k=0, l is a natural number) observed by X-ray diffraction using a Cu K-alpha radiation as a radiation source. The peak appears at a diffraction angle 2 theta greater than or equal to 31.3 degrees and less than 33.5 degrees.
    Type: Grant
    Filed: December 18, 2015
    Date of Patent: November 28, 2017
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Takuya Kawata, Masashi Oota, Yusuke Nonaka, Shunpei Yamazaki
  • Patent number: 9831074
    Abstract: The present invention provides an apparatus including a bipolar collimator disposed in a physical vapor deposition chamber and methods of using the same. In one embodiment, an apparatus includes a chamber body and a chamber lid disposed on the chamber body defining a processing region therein, a collimator disposed in the processing region, and a power source coupled to the collimator.
    Type: Grant
    Filed: October 24, 2013
    Date of Patent: November 28, 2017
    Assignee: Applied Materials, Inc.
    Inventors: Joung Joo Lee, Guojun Liu, Wei W. Wang, Prashanth Kothnur
  • Patent number: 9812305
    Abstract: A pulsed direct current sputtering system and method are disclosed. The system has a plasma chamber with two targets, two magnetrons and one anode, a first power source, and a second power source. The first power source is coupled to the first magnetron and the anode, and provides a cyclic first-power-source voltage with a positive potential and a negative potential during each cycle between the anode and the first magnetron. The second power source is coupled to the second magnetron and the anode, and provides a cyclic second-power-source voltage. The controller phase-synchronizes and controls the first-power-source voltage and second-power-source voltage to apply a combined anode voltage, and phase-synchronizes a first magnetron voltage with a second magnetron voltage, wherein the combined anode voltage applied to the anode has a magnitude of at least 80 percent of a magnitude of a sum of the first magnetron voltage and the second magnetron voltage.
    Type: Grant
    Filed: April 27, 2015
    Date of Patent: November 7, 2017
    Assignee: Advanced Energy Industries, Inc.
    Inventor: Douglas Pelleymounter
  • Patent number: 9812359
    Abstract: Stress generation free thru-silicon-via structures with improved performance and reliability and methods of manufacture are provided. The method includes forming a first conductive diffusion barrier liner on an insulator layer within a thru-silicon-via of a wafer material. The method further includes forming a stress absorption layer on the first conductive diffusion barrier. The method further includes forming a second conductive diffusion barrier on the stress absorption layer. The method further includes forming a copper plate on the second conductive diffusion barrier.
    Type: Grant
    Filed: June 8, 2015
    Date of Patent: November 7, 2017
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Fen Chen, Mukta G. Farooq, Carole D. Graas, Xiao Hu Liu
  • Patent number: 9812303
    Abstract: Methods and apparatus for a magnetron assembly are provided herein. In some embodiments, a magnetron assembly includes a first base plate; a second base plate movable with respect to the first base plate between a first position and a second position; an outer magnetic pole in the shape of a loop and comprising an outer magnetic pole section coupled to the first base plate and an outer magnetic pole section coupled to the second base plate; and an inner magnetic pole disposed within the outer magnetic pole, wherein the outer and inner magnetic poles define a closed loop magnetic field, and wherein the closed loop magnetic field is maintained when the second base plate is disposed in both the first position and a second position.
    Type: Grant
    Filed: February 18, 2014
    Date of Patent: November 7, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Alan Ritchie, Ryan Hanson, Xianwei Zhao
  • Patent number: 9812296
    Abstract: Some embodiments provide a magnetron sputtering apparatus including a vacuum chamber within which a controlled environment may be established, a target comprising one or more sputterable materials, wherein the target includes a racetrack-shaped sputtering zone that extends longitudinally along a longitudinal axis and comprises a straightaway area sandwiched between a first turnaround area and a second turnaround area, a gas distribution system that supplies a first gas mixture to the first turnaround area and/or the second turnaround area and supplies a second gas mixture to the straightaway area, wherein the first gas mixture reduces a sputtering rate relative to the second gas mixture. In some cases, the first gas mixture includes inert gas having a first atomic weight and the second gas mixture includes inert gas having a second atomic weight, wherein the second atomic weight is heavier than the first atomic weight.
    Type: Grant
    Filed: February 2, 2016
    Date of Patent: November 7, 2017
    Assignee: Cardinal CG Company
    Inventor: Klaus Hartig
  • Patent number: 9805918
    Abstract: The invention relates to a plasma source (1) for depositing a coating onto a substrate (9), which is connectable to a power source (P) and includes: an electrode (2); a magnetic assembly (4) located circumferentially relative to said electrode and including a set of magnets mutually connected by a magnetic bracket (46) including a first and second central magnet (43, 44) and at least one head magnet (45); and an electrically insulating enclosure (5) arranged such as to surround the electrode and the magnets.
    Type: Grant
    Filed: February 6, 2013
    Date of Patent: October 31, 2017
    Assignee: ARCELORMITTAL INVESTIGACIÓN Y DESARROLLO SL
    Inventors: Florin Daniel Duminica, Vincent LeClercq, Eric Silberberg, Alain Daniel
  • Patent number: 9803276
    Abstract: The invention relates to methods and devices for producing one or more low-particle layers on substrates in a vacuum. The layers are deposited onto the substrate from a cylindrical source material, optionally together with a reactive gas component, by means of magnetron sputtering. The layer is deposited against the force of gravity in a sputter-up method. During the method or within the device, the structure or stochiometric atomic composition of the layers can optionally be modified using a plasma source. Multiple sputtering sources with different source materials can be provided in the device such that multiple layers of different compositions can be applied on the substrate at a high speed in one process.
    Type: Grant
    Filed: July 23, 2012
    Date of Patent: October 31, 2017
    Assignee: FRAUNHOER-GESELLSCHAFT ZUR FÖRDERUNG DER ANGEWANDTEN FORSHUNG E.V.
    Inventors: Michael Vergöhl, Daniel Rademacher, Hans-Ulrich Kricheldorf, Günter Bräuer
  • Patent number: 9803272
    Abstract: According to the invention there is a method of depositing SiO2 onto a substrate by pulsed DC reactive sputtering which uses a sputtering gas mixture consisting essentially of oxygen and krypton.
    Type: Grant
    Filed: November 4, 2014
    Date of Patent: October 31, 2017
    Assignee: SPTS TECHNOLOGIES LIMITED
    Inventors: Yun Zhou, Rhonda Hyndman, Stephen R Burgess
  • Patent number: 9799498
    Abstract: A magnetron sputtering coating device includes a deposition chamber, sputtering cathodes, a rotating stand within the deposition chamber, a support platform on the rotating stand, a first rotation system for driving the rotating stand to rotate around a central axis of the rotating stand, and a baffle fixed on the rotating stand. The sputtering cathodes are arranged around and perpendicular to the rotating stand.
    Type: Grant
    Filed: May 5, 2015
    Date of Patent: October 24, 2017
    Assignee: ZhongAo HuiCheng Technology Co., Ltd.
    Inventors: Gong Jin, Jiangping Tu, Lingling Li, Gang Wang, Meina Wang
  • Patent number: 9790590
    Abstract: The present disclosure provides a vacuum-processing apparatus for forming a metal film on a substrate by sputtering targets with ions of plasma, and then oxidizing the metal film, the apparatus including: a first target composed of a material having a property of adsorbing oxygen; a second target composed of a metal; a power supply unit configured to apply a voltage to the targets; a shutter configured to prevent particles generated from one of the targets from adhering to the other of the targets; a shielding member; an oxygen supply unit configured to supply an oxygen-containing gas to the substrate mounted on the mounting unit; and a control unit configured to perform supplying a plasma-generating voltage to the targets and sputtering the targets and supplying the oxygen-containing gas from the oxygen supply unit to the substrate.
    Type: Grant
    Filed: April 30, 2013
    Date of Patent: October 17, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Shinji Furukawa, Atsushi Gomi, Tetsuya Miyashita, Toru Kitada, Kanto Nakamura
  • Patent number: 9793098
    Abstract: A vacuum coating and plasma treatment system includes a magnetron cathode with a long edge and a short edge. The magnetic pole of the magnetron results in an electromagnetic barrier. At least one remote arc discharge is generated separate from the magnetron cathode and in close proximity to the cathode so that it is confined within a volume adjacent to the magnetron target. The remote arc discharge extends parallel to the long edge of the magnetron target and is defined by the surface of the target on one side and the electromagnetic barrier on all other sides. There is a remote arc discharge cathode hood and anode hood extending over the arc discharge and across the short edge of the magnetron cathode. Outside of the plasma assembly is a magnetic system creating magnetic field lines which extend into and confine the plasma in front of the substrate.
    Type: Grant
    Filed: October 28, 2013
    Date of Patent: October 17, 2017
    Assignee: Vapor Technologies, Inc.
    Inventors: Vladimir Gorokhovsky, William Grant, Edward Taylor, David Humenik
  • Patent number: 9793354
    Abstract: A semiconductor device according to an embodiment includes: a first electrode; a SiC semiconductor layer including n-type semiconductor; and a second electrode including a SiC metallic region made of metal in contact with the SiC semiconductor layer, the SiC metallic region provided on a side of the SiC semiconductor layer opposite to the first electrode, the SiC metallic region containing at least one element selected from the group of Mg (magnesium), Ca (calcium), Sr (strontium), Ba (barium), Sc (scandium), Y (yttrium), La (lanthanum), and lanthanoid (Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu).
    Type: Grant
    Filed: February 11, 2015
    Date of Patent: October 17, 2017
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tatsuo Shimizu, Ryosuke Iijima, Takashi Shinohe
  • Patent number: 9777376
    Abstract: Provided is a film-forming apparatus capable of cleaning a discharge apparatus under a state in which a film-forming space and a cleaning gas ambience are separated from each other while continuing to form a film on an object to be film-formed having a film-like shape.
    Type: Grant
    Filed: July 3, 2014
    Date of Patent: October 3, 2017
    Assignee: ULVAC, INC.
    Inventors: Yixin Yang, Yoshiyuki Mitsuhashi, Masayuki Iijima, Sadatsugu Wakamatsu, Kazuhiko Saito, Tomoharu Fujii, Tsuyoshi Yoshimoto, Togo Hosoya, Takayoshi Hirono, Nobuhiro Hayashi, Nobuaki Kakutani, Naoki Sunagawa, Isao Tada, Hiroyuki Hirano
  • Patent number: 9779921
    Abstract: An apparatus includes a process chamber, a substrate holder arranged in the process chamber, a first shield provided on the peripheral portion of the substrate holder, and a second shield provided inside the process chamber. The internal space of the process chamber is partitioned into an outer space and a process space to process the substrate, by at least the first shield, the second shield, and the substrate holder. The substrate holder can be driven along a driving direction perpendicular to a substrate holding surface. The length, in a direction parallel to the driving direction, of a minimum gap portion having a minimum size in a direction perpendicular to the driving direction between the first and second shields does not change even if the substrate holder is driven in the driving direction.
    Type: Grant
    Filed: June 25, 2015
    Date of Patent: October 3, 2017
    Assignee: CANON ANELVA CORPORATION
    Inventors: Yoshimitsu Shimane, Satoshi Uchino, Susumu Akiyama, Kazuaki Matsuo, Nobuo Yamaguchi
  • Patent number: 9771646
    Abstract: Described are methods of fabricating lithium sputter targets, lithium sputter targets, associated handling apparatus, and sputter methods including lithium targets. Various embodiments address adhesion of the lithium metal target to a support structure, avoiding and/or removing passivating coatings formed on the lithium target, uniformity of the lithium target as well as efficient cooling of lithium during sputtering. Target configurations used to compensate for non-uniformities in sputter plasma are described. Modular format lithium tiles and methods of fabrication are described. Rotary lithium sputter targets are also described.
    Type: Grant
    Filed: April 20, 2012
    Date of Patent: September 26, 2017
    Assignee: View, Inc.
    Inventors: Martin John Neumann, Que Anh Song Nguyen, Disha Mehtani, Anshu A. Pradhan, Robert T. Rozbicki, Dhairya Shrivastava, Trevor Frank, Todd Martin
  • Patent number: 9773648
    Abstract: Embodiments of the present technology may include a method of processing a semiconductor substrate. The method may include providing the semiconductor substrate in a processing region. Additionally, the method may include flowing gas through a cavity defined by a powered electrode. The method may further include applying a negative voltage to the powered electrode. Also, the method may include striking a hollow cathode discharge in the cavity to form hollow cathode discharge effluents from the gas. The hollow cathode discharge effluents may then be flowed to the processing region through a plurality of apertures defined by electrically grounded electrode. The method may then include reacting the hollow cathode discharge effluents with the semiconductor substrate in the processing region.
    Type: Grant
    Filed: August 25, 2014
    Date of Patent: September 26, 2017
    Assignee: Applied Materials, Inc.
    Inventors: Tae Seung Cho, Yi-Heng Sen, Soonam Park, Dmitry Lubomirsky
  • Patent number: 9765726
    Abstract: A coated cylinder liner 20 comprises a wear resistant layer 22, such as a DLC coating, and a metallic adhesive layer 24, such as chromium or titanium, deposited on an inner surface 26 thereof. The layers 22, 24 each have a thickness tw, ta varying by not more than 5% along at least 70% of the length of the inner surface 26. The metallic adhesive layer 24 is deposited by sputtering a consumable metallic electrode 28 onto the inner surface 26. The sputtering can be magnetron sputtering. The consumable metallic electrode 28 can include a hollow opening 40 with orifices 50 for providing a carrier gas into the deposition chamber 52. In addition, the inner surface 26 of the cylinder liner 20 can provide the deposition chamber 52 by sealing a first opening 36 and second opening 38 of the cylinder liner 20.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: September 19, 2017
    Inventor: Robert Aharonov
  • Patent number: 9761423
    Abstract: A sputtering apparatus comprises: a target holder; and a magnet unit of a rectangular shape having long and short sides. The magnet unit includes: a first magnet; a second magnet disposed surrounding the first magnet and magnetized in a different and opposite direction from a direction of magnetization of the first magnet, and a third magnet located at part between the first magnet and the second magnet in the short-side direction and at least at a center position between the first magnet and the second magnet, the third magnet being magnetized in the short-side direction. In the third magnet, a surface facing the second magnet has the same polarity as that of a surface of the second magnet on the target holder side, and a surface facing the first magnet has the same polarity as that of a surface of the first magnet on the target holder side.
    Type: Grant
    Filed: December 22, 2014
    Date of Patent: September 12, 2017
    Assignee: Canon Anelva Corporation
    Inventor: Hidekazu Suzuki