Glow Discharge Sputter Deposition (e.g., Cathode Sputtering, Etc.) Patents (Class 204/192.12)
  • Patent number: 9762116
    Abstract: A voltage conversion apparatus includes a booster circuit, a boost stop circuit, a Zener diode, and a capacitor. The boost stop circuit includes a transistor. When an overvoltage equal to or larger than a breakdown voltage of the Zener diode is output to an output line of the booster circuit, the Zener diode is turned on. Accordingly, the transistor is turned on and a switching element is turned off to stop a boost operation. Further, the capacitor is charged through the Zener diode. Even when the Zener diode is turned off due to a drop in the output voltage after the stop of the boost operation, the transistor maintains its on state for a certain time by discharge of the capacitor. Thus, the stop of the boost operation is continued.
    Type: Grant
    Filed: February 11, 2016
    Date of Patent: September 12, 2017
    Assignee: OMRON AUTOMOTIVE ELECTRONICS CO., LTD.
    Inventors: Takashi Yamaguchi, Akihiro Kinoshita, Shinji Horio
  • Patent number: 9758864
    Abstract: An apparatus may comprise a plasma deposition unit, a movement system, and a mesh system. The plasma deposition unit may be configured to generate a plasma. The movement system may be configured to move a substrate under the plasma deposition unit. The mesh system may be located between the plasma deposition unit and the substrate in which a mesh may comprise a number of materials for deposition onto the substrate and in which the plasma passing through the mesh may cause a portion of the number of materials from the mesh to be deposited onto the substrate.
    Type: Grant
    Filed: September 28, 2015
    Date of Patent: September 12, 2017
    Assignee: THE BOEING COMPANY
    Inventors: Marvi A. Matos, Liam S. Pingree
  • Patent number: 9758862
    Abstract: One embodiment is directed to a magnetron assembly comprising a plurality of magnets, and a yoke configured to hold the plurality of magnets in at least four straight, parallel, independent linear arrays. The plurality of magnets is arranged in the yoke so as to form a pattern comprising an outer portion and an inner portion, wherein the outer portion substantially surrounds the perimeter of the inner portion. The end portions of the linear array comprise a pair of turnaround sections, wherein each turnaround section substantially spans respective ends of the pair of elongated sections of the outer portion. The magnets in each turnaround section are arranged to form at least two or more different curves in the magnetic field that are offset from each along the target rotation axis.
    Type: Grant
    Filed: September 3, 2013
    Date of Patent: September 12, 2017
    Assignee: Sputtering Components, Inc.
    Inventor: Patrick Lawrence Morse
  • Patent number: 9752968
    Abstract: Systems configured to isolate particles in a fluid assay are disclosed. Methods for collecting a sample of magnetic particles from a liquid are also disclosed. In certain embodiments, the disclosed systems and methods include a magnetic actuator coupled to a chassis, where the magnetic actuator comprises at least one shielded rotatable magnet.
    Type: Grant
    Filed: December 18, 2013
    Date of Patent: September 5, 2017
    Assignee: LUMINEX CORPORATION
    Inventors: Eric Smith, Adam Schilffarth
  • Patent number: 9748081
    Abstract: Reliability of a semiconductor device is improved, and use efficiency of a sputtering apparatus is increased. When depositing thin films over a main surface of a semiconductor wafer using a magnetron sputtering apparatus in which a collimator is installed in a space between the semiconductor wafer and a target installed in a chamber, a region inner than a peripheral part of the collimator is made thinner than the peripheral part. Thus, it becomes possible to suppress deterioration in uniformity of the thin film in a wafer plane, which may occur as the integrated usage of the target increases.
    Type: Grant
    Filed: September 22, 2015
    Date of Patent: August 29, 2017
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventors: Takashi Hamaya, Hideaki Tsugane, Hidenori Suzuki
  • Patent number: 9748149
    Abstract: A method of manufacturing a silicon carbide semiconductor device includes ion implanting an impurity into a surface of a semiconductor substrate comprised of silicon carbide, forming a protective film in the surface implanted with the impurity, and heat treating the semiconductor substrate covered by the protective film to activate the impurity. During formation of the protective film, the protective film has a 2-layer structure including a first protective film in the surface of the semiconductor substrate that supplies atoms that become insufficient in the semiconductor substrate as a result of the heat treating, and a second protective film in a surface of the first protective film that suppresses vaporization of silicon atoms from the first protective film. The first protective film may be a silicon film and the second protective film may be a carbon film or a carbon nitride film.
    Type: Grant
    Filed: March 10, 2016
    Date of Patent: August 29, 2017
    Assignee: FUJI ELECTRIC CO., LTD.
    Inventor: Yasuyuki Kawada
  • Patent number: 9745655
    Abstract: A maintenance method for a sputtering device includes the steps of: moving a cathode carriage to take a plurality of targets and a plurality of cathodes out of a vacuum chamber; operating a plurality of cathode rotating apparatuses to rotate the targets and the cathodes so as to cause the targets to face upwards; operating a plurality of cathode sliding apparatuses to move the targets and the cathodes located in places at high height to places at low height; removing the targets from the cathodes to attach a plurality of new targets to the cathodes; returning the targets and the cathodes to an original height thereof; returning the targets and the cathodes to original rotation angles; and putting the targets and the cathodes back into the vacuum chamber.
    Type: Grant
    Filed: October 10, 2014
    Date of Patent: August 29, 2017
    Assignee: NITTO DENKO CORPORATION
    Inventors: Tomotake Nashiki, Akira Hamada
  • Patent number: 9735342
    Abstract: A piezoelectric thin film is formed through sputtering and consists essentially of scandium aluminum nitride. The carbon atomic content is 2.5 at % or less. When producing the piezoelectric thin film, scandium and aluminum are sputtered simultaneously on a substrate from a scandium aluminum alloy target material having a carbon atomic content of 5 at % or less in an atmosphere where at least nitrogen gas exists. The sputtering may be conducted also by applying an ion beam on an opposing surface of the alloy target material at an oblique angle. Moreover, aluminum and scandium may be also sputtered simultaneously on the substrate from an Sc target material and an Al target material. As a result, a piezoelectric thin film which exhibits excellent piezoelectric properties and a method for the same can be provided.
    Type: Grant
    Filed: May 22, 2014
    Date of Patent: August 15, 2017
    Assignees: DENSO CORPORATION, NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY
    Inventors: Akihiko Teshigahara, Kazuhiko Kano, Morito Akiyama, Keiko Nishikubo
  • Patent number: 9732419
    Abstract: A gas blocking layer forming apparatus comprises a vacuum chamber that provides a space where a chemical vapor deposition process and a sputtering process are performed; a holding unit that is provided at a lower side within the vacuum chamber and mounts thereon a target object on which an organic/inorganic mixed multilayer gas blocking layer is formed; a neutral particle generation unit that is provided at an upper side within the vacuum chamber and generates a neutral particle beam having a high-density flux with a current density of about 10 A/m2 or more; and common sputtering devices that are provided at both sides of the neutral particle generation unit, wherein each common sputtering device has a sputtering target of which a surface is inclined toward a surface of the target object.
    Type: Grant
    Filed: February 15, 2013
    Date of Patent: August 15, 2017
    Assignee: KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION
    Inventors: MunPyo Hong, You Jong Lee, Yun-Sung Jang, Jun Young Lee
  • Patent number: 9735280
    Abstract: One embodiment of the present invention is a semiconductor device at least including an oxide semiconductor film, a gate insulating film in contact with the oxide semiconductor film, and a gate electrode overlapping with the oxide semiconductor film with the gate insulating film therebetween. The oxide semiconductor film has a spin density lower than 9.3×1016 spins/cm3 and a carrier density lower than 1×1015/cm3. The spin density is calculated from a peak of a signal detected at a g value (g) of around 1.93 by electron spin resonance spectroscopy. The oxide semiconductor film is formed by a sputtering method while bias power is supplied to the substrate side and self-bias voltage is controlled, and then subjected to heat treatment.
    Type: Grant
    Filed: February 26, 2013
    Date of Patent: August 15, 2017
    Assignee: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Kosei Noda, Suzunosuke Hiraishi
  • Patent number: 9728382
    Abstract: Evaporation source, in particular for use in a sputtering process or in a vacuum arc evaporation process, preferably a cathode vacuum arc evaporation process. The evaporation source includes an inner base body which is arranged in an outer carrier body and which is arranged with respect to the outer carrier body such that a cooling space in flow communication with an inlet and an outlet is formed between the base body and the carrier body. In accordance with the invention, the cooling space includes an inflow space and an outflow space, and the inflow space is in flow communication with the outflow space via an overflow connection for the cooling of the evaporation source such that a cooling fluid can be conveyed from the inlet via the inflow space the overflow connection and the outflow space to the outlet.
    Type: Grant
    Filed: January 24, 2014
    Date of Patent: August 8, 2017
    Assignee: OERLIKON SURFACE SOLUTIONS AG, PFAEFFIKON
    Inventors: Joerg Vetter, Stefan Esser, Juergen Mueller, Georg Erkens
  • Patent number: 9722278
    Abstract: A method for producing a solid lithium-based electrolyte for a solid microbattery implements the cathode sputtering of a lithium-based target material on an object supported by a substrate holder. A grid made of lithium-free electrically conductive material is interposed between the object and the lithium-based target material, the grid being electrically connected to the substrate holder.
    Type: Grant
    Filed: August 23, 2013
    Date of Patent: August 1, 2017
    Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventors: Steve Martin, Johnny Amiran, Lucie Le Van-Jodin, Sami Oukassi
  • Patent number: 9721769
    Abstract: This invention relates to the in-vacuum rotational device on a cylindrical magnetron sputtering source where the target or target elements of the target construction of such device are enabled to rotate without the need of a vacuum to atmosphere or vacuum to coolant dynamic seal. This invention relates to the use of the device in vacuum plasma technology where a plasma discharge, or any other appropriate source of energy such as arcs, laser, which can be applied to the target or in its vicinity would produce suitable coating deposition or plasma treatment on components of different nature. This invention also relates but not exclusively to the use of the device in sputtering, magnetron sputtering, arc, plasma polymerization, laser ablation and plasma etching. This invention also relates to the use of such devices and control during non-reactive and reactive processes, with or without feedback plasma process control.
    Type: Grant
    Filed: January 14, 2013
    Date of Patent: August 1, 2017
    Assignee: GENCOA LTD.
    Inventor: Jonathan Price
  • Patent number: 9715997
    Abstract: A sputtering apparatus includes: a first cylindrical target unit, a second cylindrical target unit facing the first cylindrical target unit; a third cylindrical target unit facing the first cylindrical target unit and the second cylindrical target unit; a fourth cylindrical target unit facing the first cylindrical target unit, the second cylindrical target unit, and the third cylindrical target unit; and a power unit configured to provide power such that two of the first cylindrical target unit, the second cylindrical target unit, the third cylindrical target unit, and the fourth cylindrical target unit function as different electrodes.
    Type: Grant
    Filed: March 26, 2014
    Date of Patent: July 25, 2017
    Assignee: Samsung Display Co., Ltd.
    Inventors: Seung-Ho Choi, Dae-Sang Yoon, Young-Bun Jeon
  • Patent number: 9708706
    Abstract: A thin film deposition system and method provide for multiple target assemblies that may be separately powered. Each target assembly includes a target and associated magnet or set of magnets. The disclosure provides a tunable film profile produced by multiple power sources that separately power the target arrangements. The relative amounts of power supplied to the target arrangements may be customized to provide a desired film and may be varied in time to produce a film with varied characteristics.
    Type: Grant
    Filed: November 30, 2011
    Date of Patent: July 18, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chung-En Kao, Ming-Chin Tsai, You-Hua Chou, Chen-Chia Chiang, Chih-Tsung Lee, Ming-Shiou Kuo
  • Patent number: 9702036
    Abstract: A PVD layer system for the coating of workpieces encompasses at least one mixed-crystal layer of a multi-oxide having the following composition: (Me11-xMe2x)2O3, where Me1 and Me2 each represent at least one of the elements Al, Cr, Fe, Li, Mg, Mn, Nb, Ti, Sb or V. The elements of Me1 and Me2 differ from one another. The crystal lattice of the mixed-crystal layer in the PVD layer system has a corundum structure which in an x-ray diffractometrically analyzed spectrum of the mixed-crystal layer is characterized by at least three of the lines associated with the corundum structure. Also disclosed is a vacuum coating method for producing a mixed-crystal layer of a multi-oxide, as well as correspondingly coated tools and components.
    Type: Grant
    Filed: April 6, 2011
    Date of Patent: July 11, 2017
    Assignee: OERLIKON SURFACE SOLUTIONS AG, PFÄFFIKON
    Inventors: Jurgen Ramm, Beno Widrig, Michael Ante, Christian Wohlrab
  • Patent number: 9691048
    Abstract: A chipless RFID transponder is disclosed. The transponder comprises an antenna and a plurality of resonant structures that together define a spectral signature of the RFID transponder. Each of the resonant structures comprises conductive portions separated by interstitial regions. A reversible photoconductive material is disposed in the interstitial regions of the resonant structures between the conductive portions. The photoconductive material is positioned so as to shift the spectral signature of the RFID when exposed to radiation.
    Type: Grant
    Filed: December 22, 2015
    Date of Patent: June 27, 2017
    Assignee: XEROX CORPORATION
    Inventors: George A. Gibson, James R. Larson
  • Patent number: 9683295
    Abstract: Provided herein is an apparatus, including a substrate; an etch stop layer overlying the substrate, wherein the etch stop layer is substantially resistant to etching conditions; and a patterned layer overlying the etch stop layer, wherein the patterned layer is substantially labile to the etching conditions, and wherein the patterned layer comprises a number of features including substantially consistent feature profiles among regions of high feature density and regions of low feature density.
    Type: Grant
    Filed: May 24, 2016
    Date of Patent: June 20, 2017
    Assignee: Seagate Technology LLC
    Inventors: Michael R. Feldbaum, Koichi Wago, Gennady Gauzner, Kim Y. Lee, David S. Kuo
  • Patent number: 9653270
    Abstract: A method for connecting a magnetic substance target to a backing plate with less variation in plate thickness, characterized in having the steps of connecting the magnetic substance target to an aluminum plate beforehand while maintaining the flatness, connecting the magnetic substance target connected to the aluminum plate to the backing plate while maintaining the flatness, and grinding out the aluminum plate, whereby the flatness of the magnetic substance target can be maintained until the magnetic substance target is connected to the backing plate by a relatively simple operation.
    Type: Grant
    Filed: January 31, 2008
    Date of Patent: May 16, 2017
    Assignee: JX Nippon Mining & Metals Corporation
    Inventors: Yasuhiro Yamakoshi, Kenichi Mogaki
  • Patent number: 9644261
    Abstract: A method of depositing a metallization structure (1) comprises depositing a TaN layer (4) by applying a power supply between an anode and a target in a plurality of pulses to reactively sputter Ta from the target onto the substrate (2) to form a TaN seed layer (4). A Ta layer (5) is deposited onto the TaN seed layer (4) by applying the power supply in a plurality of pulses and applying a high-frequency signal to a pedestal supporting the substrate (2) to generate a self-bias field adjacent to the substrate (2).
    Type: Grant
    Filed: February 17, 2014
    Date of Patent: May 9, 2017
    Assignee: EVATEC ADVANCED TECHNOLOGIES AG
    Inventors: Juergen Weichart, Mohamed Elghazzali, Stefan Bammesberger, Dennis Minkoley
  • Patent number: 9637814
    Abstract: A method of controlling a reactive sputter deposition process includes selecting a control process parameter for a target material and a reactive gas, the target material included in a target acting as a cathode, the reactive sputter deposition process involving forming a compound from a reaction between the target material and reactive gas species associated with the reactive gas in a vacuum chamber; establishing an operation regime for the reactive sputter deposition process for a given target power; and performing, based on the selected control process parameter and the established operation regime, the reactive sputter deposition process in a transition region between a metallic mode and a covered mode through a controlled pulsed reactive gas flow rate into the vacuum chamber, such that a stabilized reactive deposition of the compound on a substrate is achieved, the deposited compound on the substrate comprising a dielectric stoichiometric film.
    Type: Grant
    Filed: August 20, 2015
    Date of Patent: May 2, 2017
    Assignees: University of West Bohemia in Pilsen, TRUMPF Huettinger Sp. z o. o.
    Inventors: Rafal Bugyi, Jaroslav Vlcek, Jiri Rezek, Jan Lazar
  • Patent number: 9635794
    Abstract: Method and apparatus for bonding an electrical circuit component onto a substrate. A first electrically conductive bonding pad is formed on the component, and a second electrically conductive bonding pad is formed on the substrate. One of said first and second bonding pads is physically split into at least two parts, with electrical discontinuity between the two parts. An electrically conductive bond is formed between the first and second bonding pads such that electrical continuity is established from one part of the one bonding pad, through the other of the bonding pads, and through the second part of the one bonding pad. The integrity of the electrically conductive bond is evaluated by testing electrical continuity between the at least two parts.
    Type: Grant
    Filed: December 17, 2012
    Date of Patent: April 25, 2017
    Assignee: TRW Automotive U.S. LLC
    Inventors: Mike Babala, Jesse Theissen
  • Patent number: 9631271
    Abstract: A sputtering system includes a chamber, a plurality of targets, and a substrate holder. The targets are disposed in the chamber. Each target includes a magnet unit disposed therein. The substrate holder is configured to support a substrate in the chamber. The magnet units are configured to generate a magnetic field between the targets. Each of the magnet units includes magnets disposed in two rows.
    Type: Grant
    Filed: March 18, 2014
    Date of Patent: April 25, 2017
    Assignee: Samsung Display Co., Ltd.
    Inventors: Seung-Ho Choi, Jong-Jin Park
  • Patent number: 9633823
    Abstract: A gas manifold for delivery gas to a sputtering chamber is provided with ports to accommodate plasma emission monitors to monitor plasma information in the sputtering chamber to provide feedback control. The collimators of the plasma emission monitors is exposed to gas flow and thus coating of the monitor is greatly reduced.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: April 25, 2017
    Assignee: Cardinal CG Company
    Inventors: Keith J. Burrows, Christopher L. Gruber, Klaus H. W. Hartig
  • Patent number: 9618838
    Abstract: A photomask blank includes a chromium-based material film as a light-shielding film, wherein the chromium-based material film has an optical density per unit thickness at a wavelength of 193 nm of at least 0.050/nm, and the chromium-based material film has a tensile stress or compressive stress corresponding to an amount of warp of up to 50 nm. The present invention provides a photomask blank having a thin film of chromium-based material which is lowered in film stress while retaining a high optical density per unit film thickness. This enables high-accuracy patterning of a chromium-based material film.
    Type: Grant
    Filed: September 11, 2015
    Date of Patent: April 11, 2017
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Souichi Fukaya, Yukio Inazuki
  • Patent number: 9611537
    Abstract: A target for a physical vapor deposition system includes a top, a bottom, and a base. The base essentially is defined by the surface of the target to be sputtered. A first, inner ring and a second, outer ring extend from the base. Each ring has an inner side and an outer side, wherein sputtering is concentrated on the outer sides by means of a magnet arrangement adjacent to the target.
    Type: Grant
    Filed: February 23, 2011
    Date of Patent: April 4, 2017
    Assignee: EVATEC AG
    Inventors: Stanislav Kadlec, Jürgen Weichart
  • Patent number: 9607813
    Abstract: Provided is a magnetic field generation apparatus including: two or more main magnetic pole portions configured to generate a main magnetic field; one or more secondary magnetic pole portions including a plurality of first divisional magnets obtained by a division, that generate a secondary magnetic field for adjusting the generated main magnetic field; and a yoke portion including one or more first yokes opposing the plurality of first divisional magnets in correspondence with the one or more secondary magnetic pole portions.
    Type: Grant
    Filed: June 30, 2014
    Date of Patent: March 28, 2017
    Assignee: SONY CORPORATION
    Inventors: Jun Sasaki, Atsuhiro Abe, Ryoichi Hiratsuka
  • Patent number: 9605348
    Abstract: Provided herein is an apparatus, including a substrate; an etch stop layer overlying the substrate, wherein the etch stop layer is substantially resistant to etching conditions; and a patterned layer overlying the etch stop layer, wherein the patterned layer is substantially labile to the etching conditions, and wherein the patterned layer comprises a number of features including substantially consistent feature profiles among regions of high feature density and regions of low feature density.
    Type: Grant
    Filed: May 20, 2016
    Date of Patent: March 28, 2017
    Assignee: Seagate Technology LLC
    Inventors: Michael R. Feldbaum, Koichi Wago, Gennady Gauzner, Kim Y. Lee, David S. Kuo
  • Patent number: 9587306
    Abstract: For producing a directional layer for instance with constant nominal directionality, such as a low-retentivity layer with a preferred direction of magnetization or a support layer for such a layer by cathode sputtering on a substrate surface (4), the coating process takes place in a manner whereby particles emanating from a target surface (6) impinge predominantly from directions whose projections onto the substrate surface (4) lies within a preferred angular range surrounding the nominal direction. This is achieved for instance by positioning a collimator (8), encompassing plates (9) that extend at a normal angle to the substrate surface (4) parallel to the nominal direction in front of the substrate surface (4), but in lieu of or in addition to such positioning the location or movement of the substrate surface (4) relative to the target surface (6) can also be suitably adjusted or controlled.
    Type: Grant
    Filed: January 2, 2008
    Date of Patent: March 7, 2017
    Assignee: EVATEC AG
    Inventors: Hartmut Rohrmann, Hanspeter Friedli, Jürgen Weichart, Stanislav Kadlec, Martin Dubs
  • Patent number: 9580795
    Abstract: In some embodiments, a sputter source for a process chamber may include: a first enclosure having a top, sides and an open bottom; a target coupled to the open bottom; an electrical feed coupled to the top of the first enclosure proximate a central axis of the first enclosure to provide power to the target via the first enclosure; a magnet assembly having a shaft, a support arm coupled to the shaft, and a magnet coupled to the support arm disposed within the first enclosure; a first rotational actuator disposed off-axis to the central axis of the first enclosure and rotatably coupled to the magnet to rotate the magnet about the central axis of the first enclosure; and a second rotational actuator disposed off-axis to the central axis of the first enclosure and rotatably coupled to the magnet to rotate the magnet about a central axis of the magnet assembly.
    Type: Grant
    Filed: March 5, 2013
    Date of Patent: February 28, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Keith A. Miller, Martin Lee Riker
  • Patent number: 9583307
    Abstract: According to an embodiment of the invention there may be provided a system that may include a specimen chamber, an exchange chamber, a pressure monitor; and a controller. The exchange chamber may be configured to (i) receive a specimen when an exchange chamber pressure maintained within the exchange chamber is at a first pressure level, (ii) reduce the exchange chamber pressure to be lower than a specimen vapor pressure. The pressure monitor may be configured to perform, during a measurement period, at least one measurement of the exchange chamber pressure. The exchange chamber may be configured to stop a reduction of the exchange chamber pressure during the measurement period.
    Type: Grant
    Filed: July 1, 2015
    Date of Patent: February 28, 2017
    Assignee: Applied Materials Israel Ltd.
    Inventors: Emil Weisz, Mordechai Rozen
  • Patent number: 9567668
    Abstract: Embodiments of a plasma apparatus are provided. The plasma apparatus includes a processing chamber and a wafer chuck disposed in the processing chamber. The plasma apparatus also includes a target element located over the wafer chuck and an electromagnet array located over the target element and having a number of electromagnets. Some of the electromagnets in a magnetic-field zone of the electromagnet array are enabled to generate a magnetic field adjacent to the target element. The magnetic-field zone is moved during a semiconductor manufacturing process.
    Type: Grant
    Filed: February 19, 2014
    Date of Patent: February 14, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chih-Chien Chi, Shing-Chyang Pan, Kuan-Chia Chen, Yao-Jen Chang, Huang-Yi Huang, Ching-Hua Hsieh
  • Patent number: 9564300
    Abstract: A system that has a plate with a holder, in which the plate is centered in the holder both at room temperatures and at higher temperatures, independently of the thermal expansion of the plate and the holder, and in which the plate can freely expand in the holder at higher temperatures.
    Type: Grant
    Filed: April 7, 2014
    Date of Patent: February 7, 2017
    Assignee: Oerlikon Surface Solutions AG, Pfäffikon
    Inventor: Joerg Kerschbaumer
  • Patent number: 9565772
    Abstract: The present subject matter provides for a multi-layer conductive trace. The trace can be formed by digital printing the individual layers and firing. The individual layers each impart functional characteristics to the conductive trace and each layer has components that can be adjusted to affect the performance characteristics of that particular layer without detrimentally affecting the performance characteristics of the remaining layers.
    Type: Grant
    Filed: July 22, 2014
    Date of Patent: February 7, 2017
    Assignee: Ferro Corporation
    Inventors: George E. Sakoske, Phil Maitland, Dietrich Speer, Frank Walter, Robert P. Blonski, Srinivasan Sridharan
  • Patent number: 9558921
    Abstract: A magnetron sputtering apparatus includes a vacuum chamber, a cathode target that rotates on the outer side of a backing plate in the vacuum chamber, a magnetic circuit that is spaced from the outer side of the cathode target and defines an opening through which a plasma including a target material removed from the cathode target is ejected, and a yoke around the outer side of the cathode target, the yoke supporting the magnetic circuit.
    Type: Grant
    Filed: June 24, 2015
    Date of Patent: January 31, 2017
    Assignee: Samsung Display Co., Ltd.
    Inventor: Jong Yun Kim
  • Patent number: 9551066
    Abstract: A high-power pulsed magnetron sputtering process, wherein within a process chamber by means of an electrical energy source a sequence of complex discharge pulses is produced by applying an electrical voltage between an anode and a cathode in order to ionize a sputtering gas. The complex discharge pulse is applied for a complex pulse time. The cathode has a target comprising a material to be sputtered for the coating of a substrate, and the complex discharge pulse includes an electrical high-power sputtering pulse having a negative polarity with respect to the anode and being applied for a first pulse-time, the high-power sputtering pulse being followed by an electrical low-power charge cleaning pulse having a positive polarity with respect to the anode and being applied for a second pulse-time. The ratio ?1/?2 of the first pulse-time (?1) in proportion to the second pulse-time (?2) is 0.5 at the most.
    Type: Grant
    Filed: July 28, 2009
    Date of Patent: January 24, 2017
    Assignee: OERLIKON SURFACE SOLUTIONS AG, PFAEFFIKON
    Inventors: Jones Alami, Georg Erkens, Jürgen Müller, Jörg Vetter
  • Patent number: 9551060
    Abstract: A film forming apparatus, for forming a metal oxide film on an object, includes a holding unit and a heating unit. The holding unit includes a first heater and holds the object in a processing chamber. A first heater power supply supplies power to the first heater. A target electrode is electrically connected to a metal target provided above the holding unit. A sputtering power supply is electrically connected to the target electrode. An introduction mechanism supplies an oxygen gas toward the holding unit. The heating unit includes a second heater for heating the object and a moving mechanism for moving the second heater between a region in a first space disposed above the holding unit and a region in a second space separated from the first space. A second heater power supply supplies power to the second heater.
    Type: Grant
    Filed: July 27, 2015
    Date of Patent: January 24, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Atsushi Gomi, Kanto Nakamura, Tooru Kitada, Yasunobu Suzuki, Shinji Furukawa
  • Patent number: 9551067
    Abstract: The invention relates to a coating method for depositing a layer system formed from hard material layers on a substrate, by depositing at least one contact layer including the evaporation material on the surface of the substrate only by means of a cathodic vacuum arc evaporation source. After the depositing of the contact layer, at least one intermediate layer is deposited in the form of a nano-layer intermediate layer in a hybrid phase or as a nanocomposite layer, including the evaporation material and the discharge material, by parallel operation of a cathodic vacuum arc evaporation source and of a magnetron discharge source.
    Type: Grant
    Filed: April 18, 2013
    Date of Patent: January 24, 2017
    Assignee: OERLIKON SURFACE SOLUTIONS AG, PFAEFFIKON
    Inventors: Jörg Vetter, Georg Erkens, Jürgen Müller
  • Patent number: 9544166
    Abstract: An Access Gateway Management System (AGMS) allows telephone operating companies to transition their existing wireline customers over to Voice over the Internet Protocol (VoIP) technology without having to invest in new workflow processes, systems, or maintenance facilities by adapting the Operational Support Systems interfaces currently employed for managing legacy circuit-switched switching systems to manage Line Access Gateways (LAGs), which are the generic line termination systems employed in VoIP infrastructure. The AGMS also configures and adapts metallic loop test systems currently deployed for the purpose of routine maintenance and troubleshooting of subscriber lines terminating directly or indirectly (through access systems) on existing switching systems to continue to provide this functionality when the lines terminate on LAGs. Synchronization of the subtended LAGs is coordinated with the legacy network by the AGMS.
    Type: Grant
    Filed: October 17, 2014
    Date of Patent: January 10, 2017
    Assignee: GENBAND US LLC
    Inventor: Joseph Marcus Elder
  • Patent number: 9537142
    Abstract: The present invention is a method for manufacturing a negative electrode active material for a non-aqueous electrolyte secondary battery. The method includes depositing silicon on a substrate by vapor deposition by using a metallic silicon as a raw material, the substrate having a temperature controlled to 300° C. to 800° C. under reduced pressure; and pulverizing and classifying the deposited silicon. The resulting negative electrode active material composed of silicon particles is an active material useful as a negative electrode of a non-aqueous electrolyte secondary battery in which high initial efficiency and high battery capacity of silicon are kept, cycle performance is superior, and an amount of a change in volume decreases at the time of charge and discharge.
    Type: Grant
    Filed: April 15, 2015
    Date of Patent: January 3, 2017
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Tetsuo Nakanishi
  • Patent number: 9534294
    Abstract: According to the present disclosure, a method for cleaning the processing chamber of a flexible substrate processing apparatus without breaking the vacuum in the processing chamber is provided. The method for cleaning the processing chamber includes guiding a sacrificial foil into the processing chamber; initiating a first pump process in the processing chamber; plasma cleaning the processing chamber while the sacrificial foil is provided in the processing chamber; initiating a second pump process in the processing chamber; and guiding a flexible substrate into the processing chamber.
    Type: Grant
    Filed: August 13, 2013
    Date of Patent: January 3, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Florian Ries, Stefan Hein, Stefan Lorenz, Neil Morrison, Tobias Stolley
  • Patent number: 9530622
    Abstract: A sputtering device and a gas supply pipe for a sputter device are disclosed. In one aspect, the sputtering device includes a chamber, a stage located in the chamber and configured to receive a substrate thereon, and a plurality of gas supply pipes arranged substantially parallel to each other. The gas supply pipes have a plurality of gas supply holes and the gas supply pipes are configured to supply gas into the chamber. The sputtering device further includes at least one exhaust pump placed at a side of the chamber, wherein the exhaust pump is substantially symmetrically arranged with respect to a center axis of the side of the chamber.
    Type: Grant
    Filed: November 7, 2014
    Date of Patent: December 27, 2016
    Assignee: Samsung Display Co., Ltd.
    Inventors: Takayuki Fukasawa, Yeon-Keon Moon, Sang-Woo Sohn, Katsushi Kishimoto, Sang-Won Shin
  • Patent number: 9490107
    Abstract: A plasma apparatus includes a process chamber having an inner space, a chuck disposed in the process chamber and having a top surface on which a substrate is loaded, a gas supply unit supplying a process gas into the process chamber, a plasma generating unit generating plasma over the chuck, and a direct current (DC) power generator applying a DC pulse signal to the chuck. A period of the DC pulse signal may include a negative pulse duration during which a negative pulse is applied, a positive pulse duration during which a positive pulse is applied, and a pulse-off duration during which the negative pulse and the positive pulse are turned off. The positive pulse duration is between the negative pulse duration and the pulse-off duration. The pulse-off duration may comprise a voltage having a lower magnitude than the voltage of the positive pulse, such as a ground voltage.
    Type: Grant
    Filed: April 29, 2015
    Date of Patent: November 8, 2016
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Moojin Kim, Bongseong Kim, DeogJa Koo, Je-Hun Woo, Unjoo Lee
  • Patent number: 9490106
    Abstract: Plasma processing chambers having internal Faraday shields with defined groove configurations, are defined. In one example, the chamber includes an electrostatic chuck for receiving a substrate and a dielectric window connected to a top portion of the chamber, where the dielectric window disposed over the electrostatic chuck. Also included is a Faraday shield disposed inside of the chamber and defined between the electrostatic chuck and the dielectric window. The Faraday shield includes an inner zone having an inner radius range, a middle zone having a middle radius range, an outer zone having an outer radius range, where the inner zone is adjacent to the middle zone, and the middle zone being adjacent to the outer zone.
    Type: Grant
    Filed: August 4, 2011
    Date of Patent: November 8, 2016
    Assignee: Lam Research Corporation
    Inventors: John Drewery, Maolin Long, Alex Paterson
  • Patent number: 9490336
    Abstract: A method of forming an integrated circuit includes depositing a multilayer metal stack on at least one contact layer of semiconductor material. The multilayer metal stack includes a bottom interface layer formed by a combination of indium and at least one high temperature metal on the at least one contact layer of semiconductor material, at least one barrier layer formed on the bottom interface layer, and a layer formed from at least one high temperature metal on the at least one barrier layer. The metal stack is heated such that indium of the bottom interface layer forms a low resistance interface to contact layer. The at least one barrier layer functions as a barrier to diffusion of indium from the bottom interface layer. Subsequent to the heating, the resultant multilayer metal stack can be patterned to form at least one electrode for a given device of the integrated circuit.
    Type: Grant
    Filed: June 11, 2015
    Date of Patent: November 8, 2016
    Assignees: Opel Solar, Inc., THE UNIVERSITY OF CONNECTICUT
    Inventor: Geoff W. Taylor
  • Patent number: 9481928
    Abstract: A sputtering head comprises a receiving area for a sputtering target (target receptacle). The sputtering head comprises one or more magnetic field sources so as to generate a stray magnetic field. The magnetic north and the magnetic south of at least one magnetic field source, between which the stray field forms, are located 10 mm or less, preferably 5 mm or less, and particularly preferably approximately 1 mm apart. It was found that, notably when sputtering at a high sputtering gas pressure of 0.5 mbar or more, the degree of ionization of the sputtering plasma, and consequently also the ablation rate of the sputtering target, can be locally adjusted by such a locally effective magnetic field. This allows the thicknesses of the layers that are obtained to be more homogeneous over the surface of the substrate.
    Type: Grant
    Filed: September 17, 2011
    Date of Patent: November 1, 2016
    Assignee: Forschungszentrum Juelich GmbH
    Inventors: Mikhail Faley, Ulrich Poppe
  • Patent number: 9476118
    Abstract: An adjustable shunt assembly for use with a sputtering magnetron having at least two magnets spaced from one another and disposed with respect to a sputtering target having a sputtering surface. The magnets define a longitudinal axis and the adjustable shunt assembly moves a shunt between the two magnets for altering the magnetic field therebetween. A transporter is used for moving the shunt so that such movement may be occurred without disassembling the components of the magnetron and such movement may also be done remotely. A method for moving such shunts is also disclosed.
    Type: Grant
    Filed: November 4, 2013
    Date of Patent: October 25, 2016
    Assignee: Angstrom Sciences, Inc.
    Inventor: Richard Newcomb
  • Patent number: 9455057
    Abstract: A plasma lens for enhancing the quality and rate of sputter deposition onto a substrate is described herein. The plasma lens serves to focus positively charged ions onto the substrate while deflecting negatively charged ions, while at the same time due to the line of sight positioning of the lens, allowing for free passage of neutrals from the target to the substrate. The lens itself is formed of a wound coil of multiple turns, inside of which are deposed spaced lens electrodes which are electrically paired to impress an E field overtop the B field generated by the coil, the potential applied to the electrodes increasing from end to end towards the center of the lens, where the applied voltage is set to a high potential at the center electrodes as to produce a potential minimum on the axis of the lens.
    Type: Grant
    Filed: October 5, 2012
    Date of Patent: September 27, 2016
    Assignee: The Regents of the University of California
    Inventor: Andre Anders
  • Patent number: 9447493
    Abstract: A high production rate plasma sputtering process for producing particles having a size of 10 ?m or less is disclosed. The process causes ionization of at least a part of the sputtered target atoms and is performed at such parameters that the pick-up probability of ionized sputtered target atoms on the surface of grains is high.
    Type: Grant
    Filed: February 22, 2011
    Date of Patent: September 20, 2016
    Assignee: Plasmadvance AB
    Inventors: Ulf Helmersson, Nils Brenning, Daniel Soderstrom
  • Patent number: 9437652
    Abstract: An integrated circuit containing CMOS transistors and an embedded thermoelectric device may be formed by forming active areas which provide transistor active areas for an NMOS transistor and a PMOS transistor of the CMOS transistors and provide n-type thermoelectric elements and p-type thermoelectric elements of the embedded thermoelectric device. Stretch contacts with lateral aspect ratios greater than 4:1 are formed over the n-type thermoelectric elements and p-type thermoelectric elements to provide electrical and thermal connections through metal interconnects to a thermal node of the embedded thermoelectric device. The stretch contacts are formed by forming contact trenches in a dielectric layer, filling the contact trenches with contact metal and subsequently removing the contact metal from over the dielectric layer. The stretch contacts are formed concurrently with contacts to the NMOS and PMOS transistors.
    Type: Grant
    Filed: May 30, 2014
    Date of Patent: September 6, 2016
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Jeffrey R. Debord, Henry Litzmann Edwards, Kenneth J. Maggio