Treatment Of Semiconductor Body Using Process Other Than Electromagnetic Radiation (epo) Patents (Class 257/E21.482)
  • Publication number: 20090263976
    Abstract: Variation in the thickness of the deposited films depending on number of the processed product wafers in the deposition process employing a batch type CVD apparatus is inhibited to provide a manufacture of the film having a predetermined thickness with an improved reproducibility. The deposition apparatus 100 comprises a deposition reactor 101 that is capable of containing product wafers 107 and dummy wafers 109, boat 105, on which product wafer 107 or the dummy wafer 109 is mounted, and a heater 111 provided outside of the deposition reactor 101 along a reactor wall 103. Further, the deposition apparatus 100 comprises a gas supplying system including a high-k source material supplying line 113 and SiO2 source material supplying line 115, and a controller 121 that provides a control to the supply of a gas from the gas supplying system to the deposition reactor 101.
    Type: Application
    Filed: May 26, 2009
    Publication date: October 22, 2009
    Applicant: NEC Electronics Corporation
    Inventors: Ichiro YAMAMOTO, Koji WATANABE
  • Publication number: 20090263974
    Abstract: A substrate processing system which sprays exposure process gas onto a substrate disposed within a chamber. The substrate processing system is used, for example, for performing an exposure process of an organic film formed on a substrate in a gas atmosphere obtained by vaporizing an organic solvent solution for dissolving and reflowing an organic film. The substrate processing system comprises: the chamber having at least one gas inlet and at least one gas outlets; a gas introducing means which introduces the exposure process gas into the chamber via the gas inlet; and a gas distributing means. The gas distributing means separates an inner space of the chamber into a first space into which the exposure process gas is introduced via the gas inlet and a second space in which the substrate is disposed.
    Type: Application
    Filed: June 22, 2009
    Publication date: October 22, 2009
    Inventors: Shusaku Kido, Yoshihide IIo, Masaki Ikeda
  • Publication number: 20090258504
    Abstract: Provided are a substrate processing apparatus and a method of manufacturing a semiconductor device. The substrate processing apparatus includes a reaction vessel configured to process a substrate, a heater configured to heat an inside of the reaction vessel, a gas supply line configured to supply gas into the reaction vessel, a first valve installed at the gas supply line, a flow rate controller installed at the gas supply line, a main exhaust line configured to exhaust the inside of the reaction vessel, a second valve installed at the main exhaust line, a slow exhaust line installed at the main exhaust line, a third valve installed at the slow exhaust line, a throttle part installed at the slow exhaust line, a vacuum pump installed at the main exhaust line, and a controller configured to control the valves and the flow rate controller.
    Type: Application
    Filed: April 9, 2009
    Publication date: October 15, 2009
    Inventors: Naoharu NAKAISO, Kiyohiko MAEDA, Masayuki YAMADA
  • Publication number: 20090246934
    Abstract: A method for manufacturing an SOI substrate in which crystal defects of a single crystal semiconductor layer are reduced is provided. An oxide film containing halogen is formed on each of surfaces of a single crystal semiconductor substrate and of a semiconductor substrate provided with a single crystal semiconductor layer separated from the single crystal semiconductor substrate, whereby impurities that exist on the surfaces of and inside the substrates are decreased. In addition, the single crystal semiconductor layer provided over the semiconductor substrate is irradiated with a laser beam, whereby crystallinity of the single crystal semiconductor layer is improved and planarity is improved.
    Type: Application
    Filed: March 25, 2009
    Publication date: October 1, 2009
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei YAMAZAKI, Eriko NISHIDA, Takashi SHIMAZU
  • Publication number: 20090215252
    Abstract: The invention includes methods of utilizing supercritical fluids to introduce precursors into reaction chambers. In some aspects, a supercritical fluid is utilized to introduce at least one precursor into a chamber during ALD, and in particular aspects the supercritical fluid is utilized to introduce multiple precursors into the reaction chamber during ALD. The invention can be utilized to form any of various materials, including metal-containing materials, such as, for example, metal oxides, metal nitrides, and materials consisting of metal. Metal oxides can be formed by utilizing a supercritical fluid can be utilized to introduce a metal-containing precursor into reaction chamber, with the precursor then forming a metal-containing layer over a surface of a substrate. Subsequently, the metal-containing layer can be reacted with oxygen to convert at least some of the metal within the layer to metal oxide.
    Type: Application
    Filed: May 7, 2009
    Publication date: August 27, 2009
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Demetrius Sarigiannis, Garo J. Derderian, Cem Basceri
  • Publication number: 20090209090
    Abstract: A problem in the conventional technique is that metal contamination on a silicon carbide surface is not sufficiently removed in a manufacturing method of a semiconductor device using a monocrystalline silicon carbide substrate. Accordingly, there is a high possibility that the initial characteristics of a manufactured silicon carbide semiconductor device are deteriorated and the yield rate is decreased. Further, it is conceivable that the metal contamination has an adverse affect even on the long-term reliability of a semiconductor device. In a manufacturing method of a semiconductor device using a monocrystalline silicon carbide substrate, there is applied a metal contamination removal process, on a silicon carbide surface, including a step of oxidizing the silicon carbide surface and a step of removing a film primarily including silicon dioxide formed on the silicon carbide surface by the step.
    Type: Application
    Filed: November 11, 2008
    Publication date: August 20, 2009
    Inventors: Natsuki YOKOYAMA, Tomoyuki SOMEYA
  • Publication number: 20090197425
    Abstract: An ALD apparatus comprises: a process chamber (32) configured to accommodate a boat (25) charged with a plurality of wafers (1); gas supply systems (38, 50) configured to supply process gases to the wafers (1); a pair of electrodes (57, 57) arranged in a stacked direction of the wafers (1); a high-frequency power source (58) configured to supply a high-frequency power to the pair of the electrodes (57, 57); a variable impedance element (62) connected to a front end opposite to the high-frequency power (58) of the pair of the electrodes (57, 57); and a control unit (60) configured to change an output frequency of the high-frequency power source (58). By moving the local minimum point of the voltage distribution through the change of the output frequency of the high-frequency power source during the plasma discharge, the plasma generation amount within a pair of discharge electrodes is uniformized.
    Type: Application
    Filed: February 2, 2009
    Publication date: August 6, 2009
    Inventor: Nobuo ISHIMARU
  • Publication number: 20090181474
    Abstract: A method of manufacturing a semiconductor device has forming a ferroelectric film over a substrate, placing the substrate having the ferroelectric film in a chamber substantially held in vacuum, introducing oxygen and an inert gas into the chamber, annealing the ferroelectric film in the chamber, and containing oxygen and the inert gas while the chamber is maintained sealed.
    Type: Application
    Filed: January 8, 2009
    Publication date: July 16, 2009
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventor: Kouichi NAGAI
  • Patent number: 7557050
    Abstract: In a method of manufacturing a polysilicon thin film and a method of manufacturing a TFT having the thin film, a laser beam is irradiated on a portion of an amorphous silicon thin film to liquefy the portion of the amorphous silicon thin film. The amorphous silicon thin film is on a first end portion of a substrate. The liquefied silicon is crystallized to form silicon grains. The laser beam is shifted from the first end portion towards a second end portion of the substrate opposite the first end portion by an interval in a first direction. The laser beam is then irradiated onto a portion of the amorphous silicon thin film adjacent to the silicon grains to form a first polysilicon thin film. Therefore, electrical characteristics of the amorphous silicon thin film may be improved.
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: July 7, 2009
    Assignee: Samsung Electroncis Co., Ltd.
    Inventors: Se-Jin Chung, Chi-Woo Kim, Ui-Jin Chung, Dong-Byum Kim
  • Publication number: 20090170285
    Abstract: The present invention provides a method for manufacturing a bonded wafer by an ion implantation delamination method, the method including at least the steps of bonding a base wafer with a bond wafer having a microbubble layer formed by ion implantation, delaminating the wafers along the micro bubble layer as a boundary, and removing a periphery of a thin film formed on the base wafer by the delamination step, wherein at least the thin-film periphery removal step after the delamination step is performed by dry etching that supplies an etching gas from a nozzle, and the dry etching is performed by adjusting an inner diameter of the gas-jetting port of the nozzle, and a distance between the gas-jetting port of the nozzle and a surface of the thin film.
    Type: Application
    Filed: May 14, 2007
    Publication date: July 2, 2009
    Applicant: SHIN-ETSU HANDOTAI CO., LTD.
    Inventors: Yasutsugu Soeta, Nobuhiko Noto
  • Publication number: 20090156016
    Abstract: A method for transferring a thin layer from an initial substrate includes forming an assembly of the initial substrate with one face of a silicone type polymer layer, this face having been treated under an ultraviolet radiation, and processing the initial substrate to form the thin layer on the silicone type polymer layer.
    Type: Application
    Filed: December 16, 2008
    Publication date: June 18, 2009
    Inventor: Lea Di Cioccio
  • Publication number: 20090146325
    Abstract: An apparatus and manufacturing method thereof, wherein an integrated circuit is located in a first region of a substrate having first and second opposing major surfaces, and wherein an alignment mark is located in a second region of the substrate and extends through the substrate between the first and second surfaces. The alignment mark may protrude from the first and/or second surfaces, and/or may comprise a plurality of substantially similar alignment marks. The second region may interpose the first region and a perimeter of the substrate. The second region may comprise a scribe region.
    Type: Application
    Filed: December 6, 2007
    Publication date: June 11, 2009
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Jen-Cheng Liu, Dun-Nian Yaung, Shou-Gwo Wuu
  • Publication number: 20090134513
    Abstract: Methods and structures for fabricating MEMS devices on compliant layers are provided. In particular, disclosed are methods and structures that can include the use of a sacrificial layer composed of a material having material properties relative to one or more other layers. These methods and structures can reduce final device shape sensitivity to process parameters, deposition temperature differences, specific material, time, and/or geometry. Further, such methods and structures can improve the final as-built shape of released devices, reduce variability in the as-built shape, eliminate decoupling of the deposited layers from the substrate, and reduce variability across a product array, die, or wafer.
    Type: Application
    Filed: July 23, 2008
    Publication date: May 28, 2009
    Inventor: Jin Qiu
  • Publication number: 20090130858
    Abstract: A process for depositing a thin film material on a substrate is disclosed, comprising simultaneously directing a series of gas flows from the output face of a delivery head of a thin film deposition system toward the surface of a substrate, and wherein the series of gas flows comprises at least a first reactive gaseous material, an inert purge gas, and a second reactive gaseous material, wherein the first reactive gaseous material is capable of reacting with a substrate surface treated with the second reactive gaseous material, wherein one or more of the gas flows provides a pressure that at least contributes to the separation of the surface of the substrate from the face of the delivery head. A system capable of carrying out such a process is also disclosed.
    Type: Application
    Filed: January 8, 2007
    Publication date: May 21, 2009
    Inventor: David H. Levy
  • Patent number: 7517817
    Abstract: A method is provided for forming silicon oxide layers during the processing of semiconductor devices by applying a SOG layer including polysilazane to a substrate and then substantially converting the SOG layer to a silicon oxide layer using an oxidant solution. The oxidant solution may include one or more oxidants including, for example, ozone, peroxides, permanganates, hypochlorites, chlorites, chlorates, perchlorates, hypobromites, bromites, bromates, hypoiodites, iodites, iodates and strong acids.
    Type: Grant
    Filed: January 23, 2007
    Date of Patent: April 14, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ju-Seon Goo, Eun-Kee Hong, Hong-Gun Kim, Kyu-Tae Na
  • Publication number: 20090053876
    Abstract: Instead of forming a semiconductor film by bonding a bond substrate (semiconductor substrate) to a base substrate (supporting substrate) and then separating or cleaving the bond substrate, a bond substrate is separated or cleaved at a plurality of positions to form a plurality of first semiconductor films (mother islands), and then the plurality of first semiconductor films are bonded to a base substrate. Subsequently, the plurality of first semiconductor films each are partially etched, whereby one or more second semiconductor films (islands) are formed using one of the first semiconductor films and a semiconductor element is manufactured using the second semiconductor films. The plurality of first semiconductor films are bonded to the base substrate based on a layout of the second semiconductor films so as to cover at least a region in which the second semiconductor films of the semiconductor element are to be formed.
    Type: Application
    Filed: August 19, 2008
    Publication date: February 26, 2009
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Publication number: 20090001363
    Abstract: There are provided a method of manufacturing a zinc oxide semiconductor, and a zinc oxide semiconductor manufactured using the method. A metal catalyst layer is formed on a zinc oxide thin film that has an electrical characteristic of a n-type semiconductor, and a heat treatment is performed thereon so that the zinc oxide thin film is modified into a zinc oxide thin film having an electrical characteristic of a p-type semiconductor. Hydrogen atoms existing in the zinc oxide thin film are removed by a metal catalyst during the heat treatment. Accordingly, the hydrogen atoms existing in the zinc oxide thin film are removed by the metal catalyst and the heat treatment, and the concentration of holes serving as carriers is increased. That is, an n-type zinc oxide thin film is modified into a highly-concentrated p-type zinc oxide semiconductor.
    Type: Application
    Filed: June 24, 2008
    Publication date: January 1, 2009
    Applicant: Gwangju Institute of Science and Technology
    Inventors: Seong Ju Park, Min Suk Oh, Dae Kyu Hwang, Min Ki Kwon
  • Patent number: 7470600
    Abstract: A technique for forming a film of material (12) from a donor substrate (10). The technique has a step of forming a stressed region in a selected manner at a selected depth (20) underneath the surface. An energy source such as pressurized fluid is directed to a selected region of the donor substrate to initiate a controlled cleaving action of the substrate (10) at the selected depth (20), whereupon the cleaving action provides an expanding cleave front to free the donor material from a remaining portion of the donor substrate.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: December 30, 2008
    Assignee: Silicon Genesis Corporation
    Inventors: Francois J. Henley, Nathan Cheung
  • Patent number: 7459375
    Abstract: A method of fabricating a silicon-on-plastic layer via layer transfer includes depositing a layer of SiGe on a silicon substrate; depositing a layer of silicon; implanting splitting hydrogen ions into the silicon substrate; bonding a glass substrate to the silicon layer; splitting the wafer; removing the silicon layer and a portion of the SiGe layer; depositing a dielectric on the silicon side of the silicon-on-glass wafer; applying adhesive and bonding a plastic substrate to the silicon side of the silicon-on-glass wafer; removing the glass from the glass side of the bonded, silicon-on-glass wafer to form a silicon-on-plastic wafer; and completing a desired IC device on the silicon-on-plastic. Multi-level structure may be fabricated according to the method of the invention by repeating the last few steps of the method of the invention.
    Type: Grant
    Filed: August 10, 2007
    Date of Patent: December 2, 2008
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Jer-Shen Maa, Jong-Jan Lee, Douglas J. Tweet, Sheng Teng Hsu
  • Publication number: 20080293219
    Abstract: The metal wirings of the uppermost layer are exposed so as to be contactable to the probe and arranged so as to be spatially separated from one another via spaces that are approximately parallel to the longitudinal direction of the dicing area, and the position and size of the space is designed considering a thickness of a cutting edge of a blade and relative positioning error, and the blade does not cross any metal wirings when the blade passes through the dicing area, thereby preventing the generation of an abruption or a burr due to the dicing to enhance a yield in IC production.
    Type: Application
    Filed: July 25, 2008
    Publication date: November 27, 2008
    Applicant: RENESAS TECHNOLOGY CORP.
    Inventors: Ryu Makabe, Yuichi Kunori
  • Publication number: 20080277778
    Abstract: A structure for a semiconductor components is provided having a device layer sandwiched on both sides by other active, passive, and interconnecting components. A wafer-level layer transfer process is used to create this planar (2D) IC structure with added functional enhancements.
    Type: Application
    Filed: May 10, 2007
    Publication date: November 13, 2008
    Inventors: Bruce K. Furman, Sampath Purushothaman, Muthumanickam Sankarapandian, Anna Topol
  • Publication number: 20080280420
    Abstract: A method for manufacturing a substrate of a semiconductor device is provided, which comprises a step of forming a fragile layer in a semiconductor substrate by irradiating the semiconductor substrate with ion species, a step of forming a bonding layer over the semiconductor substrate, a step of bonding the semiconductor substrate and a substrate having an insulating surface with the bonding layer interposed therebetween, a step of separating the semiconductor substrate with a semiconductor layer left over the substrate having the insulating surface by heating at least the semiconductor substrate, and a step of reprocessing the semiconductor substrate from which the semiconductor layer is separated.
    Type: Application
    Filed: March 27, 2008
    Publication date: November 13, 2008
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Publication number: 20080251826
    Abstract: A method for manufacturing a multi-layer semiconductor structure is disclosed. First, a first wafer comprising a first semiconductor device structure and a second wafer comprising a substrate and a single crystal silicon layer are provided, and the first and second wafers are combined in which a surface of the first wafer having the first semiconductor device structure is in contact with a surface of the second wafer having the single crystal silicon layer. A glue layer and a dielectric layer can be employed to combine the first and second wafers. Afterwards, a process for manufacturing a second semiconductor device structure is performed on the single crystal silicon layer.
    Type: Application
    Filed: May 11, 2007
    Publication date: October 16, 2008
    Applicant: PROMOS TECHNOLOGIES INC.
    Inventors: Jack Lee, Herbert Lu, Marvin Liu, Peter Pong
  • Publication number: 20080242108
    Abstract: A method for fabricating a semiconductor device is disclosed. The method includes providing a first chamber and a second chamber. The first chamber and the second chamber are connected by a pressure differential unit, for depositing a metallic film over a substrate in the first chamber, transferring the substrate to the second chamber via the pressure differential unit without exposing the substrate to the ambient environment, and depositing a silicon-containing film on the metallic film in the second chamber.
    Type: Application
    Filed: April 2, 2007
    Publication date: October 2, 2008
    Inventors: Weng Chang, Fong-Yu Yen, Hun-Jan Tao, Mong-Song Liang
  • Publication number: 20080227270
    Abstract: Described is a wet chemical surface treatment involving NH4OH that enables extremely strong direct bonding of two wafer such as semiconductors (e.g., Si) to insulators (e.g., SiO2) at low temperatures (less than or equal to 400° C.). Surface energies as high as ˜4835±675 mJ/m2 of the bonded interface have been achieved using some of these surface treatments. This value is comparable to the values reported for significantly higher processing temperatures (less than 1000° C.). Void free bonding interfaces with excellent yield and surface energies of ˜2500 mJ/m2 have also be achieved herein.
    Type: Application
    Filed: May 28, 2008
    Publication date: September 18, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kevin K. Chan, Kathryn Wilder Guarini, Erin C. Jones, Antonio F. Saavedra, Leathen Shi, Dinkar V. Singh
  • Publication number: 20080200009
    Abstract: Spaced apart bonding surfaces are formed on a first substrate. A second substrate is bonded to the bonding surfaces of the first substrate and cleaved to leave respective semiconductor regions from the second substrate on respective ones of the spaced apart bonding surfaces of the first substrate. The bonding surfaces may include surfaces of at least one insulating region on the first substrate, and at least one active device may be formed in and/or on at least one of the semiconductor regions. A device isolation region may be formed adjacent the at least one of the semiconductor regions.
    Type: Application
    Filed: February 12, 2008
    Publication date: August 21, 2008
    Inventors: Jong-Heun Lim, Chang-Ki Hong, Bo-Un Yoon, Dae-Lok Bae, Seong-Kyu Yun, Suk-Hun Choi
  • Publication number: 20080200008
    Abstract: The invention relates to improvements in a method for molecularly bonding first and second substrates together by placing them in surface to surface contact. The improvement includes, prior to placing the substrates in contact, cleaning the surface of one or both of the substrates in a manner to provide a cleaned surface that is slightly roughened compared to a conventionally polished surface, and heating at least one or both of the substrates prior to placing the substrates in contact while retaining the heating at least until the substrates are in surface to surface contact.
    Type: Application
    Filed: October 16, 2007
    Publication date: August 21, 2008
    Inventors: Sebastien Kerdiles, Willy Michel, Walter Schwarzenbach, Daniel Delprat
  • Publication number: 20080191312
    Abstract: A semiconductor memory device includes a substrate and an interconnect region carried by the substrate. A donor layer is coupled to the interconnect region through a bonding interface. An electronic device is formed with the donor layer, wherein the electronic device is formed after the bonding interface is formed. A capacitor is connected to the electronic device so that the electronic device and capacitor operate as a dynamic random access memory device.
    Type: Application
    Filed: February 29, 2008
    Publication date: August 14, 2008
    Inventors: ChoonSik Oh, Sang-Yun Lee
  • Publication number: 20080191218
    Abstract: This invention provides a method for producing application quality low-dielectric constant (low-k) cryptocrystal layers on state-of-the-art semiconductor wafers and for producing organized Nanostructures from cryptocrystals and relates to optical and electronic devices that can be obtained from these materials. The results disclosed here indicate that modification of structure and chemical composition of single crystal matrix using chemical vapor processing (CVP) results in high quality cryptocrystal layers that are homogeneous and form a smooth interface with semiconductor wafer With this method, growth rates as high as 1 ?m/hour can be realized for the dielectric cryptocrystal layer formation. The present invention also provides a method for producing Micro- and Nano-wires by transforming cryptocrystals to organized systems. With this method, Nano wires having dimensions ranging from few nanometers up to 1000 nanometer and lengths up to 50 micrometer can be produced.
    Type: Application
    Filed: February 8, 2006
    Publication date: August 14, 2008
    Inventor: Seref Kalem
  • Publication number: 20080173970
    Abstract: New spin-on, bonding compositions and methods of using those compositions are provided. The cured bonding compositions comprise a crosslinked oxazoline (either crosslinked with another oxazoline or with a crosslinking agent), and can be used to bond an active wafer to a carrier wafer or substrate to assist in protecting the active wafer and its active sites during subsequent processing and handling. The compositions form bonding layers that are chemically and thermally resistant, but that can be thermally decomposed at 285° C. or higher to allow the wafers to slide apart at the appropriate stage in the fabrication process.
    Type: Application
    Filed: October 3, 2007
    Publication date: July 24, 2008
    Inventor: Sunil K. Pillalamarri
  • Publication number: 20080164575
    Abstract: A method for manufacturing a semiconductor device includes the steps of forming first and second semiconductor wafers each including an array of chips and elongate electrodes, forming a groove on scribe lines separating the chips from one another; coating a surface of one of the semiconductor wafers with adhesive; bonding together the semiconductor wafers while allowing the groove to receive therein excessive adhesive; and heating the wafers to connect the elongate electrodes of both the semiconductor wafers.
    Type: Application
    Filed: December 14, 2007
    Publication date: July 10, 2008
    Applicant: ELPIDA MEMORY, INC.
    Inventors: Hiroaki Ikeda, Masakazu Ishino, Hiroyuki Tenmei, Naoya Kanda, Yasuhiro Naka, Kunihiko Nishi
  • Publication number: 20080164606
    Abstract: A deformable spacer for wafer bonding applications is disclosed. The spacer may be used to keep wafers separated until desired conditions are achieved.
    Type: Application
    Filed: January 8, 2007
    Publication date: July 10, 2008
    Inventors: Christoffer Graae Greisen, Lior Shiv, Paul N. Egginton
  • Publication number: 20080138959
    Abstract: The present invention is a method for producing a semiconductor wafer, comprising: at least epitaxially growing a Si1-XGeX layer (0<X?1) on a surface of a silicon single crystal wafer to be a bond wafer; implanting at least one kind of a hydrogen ion or a rare gas ion through the Si1-XGeX layer and thereby, forming an ion-implanted layer inside the bond wafer; closely contacting and bonding a surface of the Si1-XGeX layer and a surface of a base wafer through an insulator film; then performing a delamination treatment of performing delamination at the ion-implanted layer; performing a bonding heat treatment of binding the bonded surfaces at a temperature of, at least, more than or equal to a temperature when the delamination treatment is performed; and then removing a Si layer of a delaminated layer transferred to a side of the base wafer by the delamination.
    Type: Application
    Filed: November 2, 2005
    Publication date: June 12, 2008
    Applicant: Shin-Etsu Handotai Co., Ltd.
    Inventors: Isao Yokokawa, Hiroji Aga, Kiyoshi Mitani
  • Publication number: 20080128868
    Abstract: The invention relates to a method for producing a semi-conductor structure consisting in a) producing at least one part of a circuit in or on a surface layer (2) of a substrate, which comprises said surface layer (2), a layer (4) buried under said surface layer and an underlying layer (6) used in the form of a first support, b) transferring said substrate to a handle substrate (20) and in removing the first support (6), c) forming a bonding layer (12) on said electrically conductive or a grounding plane forming layer (14) and e) transferring the assembly to a second support (30) and in removing the handle substrate (20).
    Type: Application
    Filed: December 22, 2005
    Publication date: June 5, 2008
    Applicant: TRACIT TECHNOLOGIES
    Inventor: Bernard Aspar
  • Publication number: 20080132064
    Abstract: By forming a copper/silicon/nitrogen alloy in a surface portion of a copper-containing region on the basis of a precursor layer, highly controllable and reliable process conditions may be established. The precursor layer may be formed on the basis of a liquid precursor solution, which may exhibit a substantially self-aligned and self-limiting deposition behavior.
    Type: Application
    Filed: September 12, 2007
    Publication date: June 5, 2008
    Inventors: Christof Streck, Volker Kahlert
  • Publication number: 20080102602
    Abstract: Provided is a strained SOI structure and a method of manufacturing the strained SOI structure. The strained SOI structure includes an insulating substrate, a SiO2 layer formed on the insulating substrate, and a strained silicon layer formed on the SiO2 layer.
    Type: Application
    Filed: December 19, 2007
    Publication date: May 1, 2008
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Young-soo Park, Wenxu Xianyu, Takashi Noguchi
  • Publication number: 20080102603
    Abstract: A method for producing a direct bonded wafer comprising: forming a thermal oxide film or a CVD oxide film on a surface of at least one of a bond wafer and a base wafer, and bonding the wafer to the other wafer via the oxide film; subsequently thinning the bond wafer to prepare a bonded wafer; and thereafter conducting a process of annealing the bonded wafer under an atmosphere including any one of an inert gas, hydrogen and a mixed gas of an inert gas and hydrogen so that the oxide film between the bond wafer and the base wafer is removed to bond the bond wafer directly to the base wafer. Thereby, there is provided a method for producing a direct bonded wafer in which generation of voids is reduced, and a direct bonded wafer with a low void count.
    Type: Application
    Filed: November 29, 2005
    Publication date: May 1, 2008
    Applicant: SHIN-ETSU HANDOTAI CO., LTD.
    Inventors: Norihiro Kobayashi, Toru Ishizuka, Tomohiko Ohta, Hiroji Aga, Yasuo Nagaoka
  • Patent number: 7344958
    Abstract: A method for producing a wafer bonded structure between (Al, In, Ga)N and Zn(S,Se). A highly reflective and conductive distributed Bragg reflector (DBR) for relatively short optical wave lengths can be fabricated using Zn(S,Se) and MgS/(Zn, Cd)Se materials. Using wafer bonding techniques, these high-quality DBR structures can be combined with a GaN-based optical device structure.
    Type: Grant
    Filed: July 6, 2005
    Date of Patent: March 18, 2008
    Assignees: The Regents of the University of California, Universitaet Bremen, Japan Science and Technology Agency
    Inventors: Akihiko Murai, Lee McCarthy, Umesh K. Mishra, Steven P. DenBaars, Carsten Kruse, Stephan Figge, Detlef Hommel
  • Publication number: 20080057714
    Abstract: A polished semiconductor wafer has a front surface and a back surface and an edge R, which is located at a distance of a radius from a center of the semiconductor wafer, forms a periphery of the semiconductor wafer and is part of a profiled boundary of the semiconductor wafer. The maximum deviation of the flatness of the back surface from an ideal plane in a range between R-6 mm and R-1 mm of the back surface is 0.7 ?m or less. A process for producing the semiconductor wafer, comprises at least one treatment of the semiconductor wafer with a liquid etchant and at least one polishing of at least a front surface of the semiconductor wafer, the etchant flowing onto a boundary of the semiconductor wafer during the treatment, and the boundary of the semiconductor wafer which faces the flow of etchant being at least partially shielded from being struck directly by the etchant. The shielding extends in the direction of a thickness d of the semiconductor wafer and is at least d+100 ?m long.
    Type: Application
    Filed: October 11, 2007
    Publication date: March 6, 2008
    Applicant: Siltronic AG
    Inventors: Thomas Teuschler, Guenter Schwab, Maximilian Stadler
  • Publication number: 20080057675
    Abstract: A technique for forming a film of material (12) from a donor substrate (10). The technique has a step of forming a stressed region in a selected manner at a selected depth (20) underneath the surface. An energy source such as pressurized fluid is directed to a selected region of the donor substrate to initiate a controlled cleaving action of the substrate (10) at the selected depth (20), whereupon the cleaving action provides an expanding cleave front to free the donor material from a remaining portion of the donor substrate.
    Type: Application
    Filed: August 20, 2007
    Publication date: March 6, 2008
    Applicant: Silicon Genesis Corporation
    Inventors: Francois Henley, Nathan Cheung
  • Publication number: 20080029852
    Abstract: A semiconductor device includes a laminated substrate formed by laminating a plurality of semiconductor substrates, a concave part formed in the laminated substrate, and a semiconductor element mounted in the concave part. A method of manufacturing a semiconductor device includes a first step of forming a laminated substrate by laminating a plurality of semiconductor substrates, a second step of forming a concave part by etching the laminated substrate, and a third step of mounting a semiconductor element in the concave part.
    Type: Application
    Filed: August 2, 2007
    Publication date: February 7, 2008
    Inventors: Kei Murayama, Yuichi Taguchi, Naoyuki Koizumi, Masahiro Sunohara, Akinori Shiraishi, Mitsutoshi Higashi
  • Publication number: 20080032487
    Abstract: A semiconductor wafer manufacturing method comprising the steps of preparing first and second semiconductor wafers, bonding a main surface of said second semiconductor wafer to a main surface of said first semiconductor wafer, thinning said first semiconductor wafer, implanting oxygen ions from said first semiconductor wafer side into a neighborhood of a part where said first and second semiconductor wafers are bonded to each other, and forming the portion implanted with the oxygen ions into an oxide film layer by a thermal treatment.
    Type: Application
    Filed: October 5, 2007
    Publication date: February 7, 2008
    Applicant: Renesas Technology Corp.
    Inventors: Toshiaki Iwamatsu, Shigenobu Maeda
  • Publication number: 20080020547
    Abstract: The invention concerns a method for transferring at least one object of micrometric or millimetric size onto a host substrate by means of a handle. The method comprises the following steps: fixing a polymer handle on said object in order to be able to obtain a structure, constituted of the handle and the object superimposed, and deformable, surface preparation of the face of the object opposite the handle with a view to its adhesion on a face of the host substrate, bringing into contact and adhesion of said face of the object on said face of the host substrate after deformation of at least the handle, removal of the polymer handle.
    Type: Application
    Filed: October 18, 2005
    Publication date: January 24, 2008
    Inventors: Marek Kostrzewa, Lea Di Cioccio, Marc Zussy
  • Publication number: 20070273025
    Abstract: A bonding-bump (1) of small dimensions comprises a gold pedestal portion (2) formed on a circuit element (10), a nickel barrier layer (3) formed on the pedestal portion (2), and a soldering portion (5) formed on the barrier layer (3). The soldering portion (5) comprises first (6) and second (8) gold layers having an intermediate tin layer (7) sandwiched therebetween. The relative masses of gold and tin in the first, second and intermediate layers (6-8) gives the soldering portion (5) a composition corresponding to the eutectic gold-tin composition. The bonding-bump (1) may be manufactured by depositing a titanium seed layer onto the circuit element (10), removing portions of the titanium layer where there are contact pads (P) on the circuit element (10), electroplating the layers and portions (2-8) constituting the bonding-bump (1), and removing the remaining portions of the seed layer. This bonding-bond technique is used to connect circuit elements in electronic devices.
    Type: Application
    Filed: October 31, 2003
    Publication date: November 29, 2007
    Applicant: KONINKLIJKE PHILIPS ELECTRONICS N.V.
    Inventor: Joseph Bellaiche
  • Publication number: 20070269960
    Abstract: A method for fabricating a semiconductor substrate. In an embodiment, this method includes the steps of transferring a seed layer on to a support substrate; and depositing a working layer on the seed layer to form a composite substrate. The seed layer is made of a material that accommodates thermal expansion of the support substrate and of the working layer. The result is a semiconductor substrate that includes the at least one layer of semiconductor material on a support substrate.
    Type: Application
    Filed: July 31, 2007
    Publication date: November 22, 2007
    Applicant: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Fabrice Letertre, Bruno Ghyselen, Olivier Rayssac
  • Publication number: 20070254454
    Abstract: A process for bonding two distinct substrates that integrate microsystems, including the steps of forming micro-integrated devices in at least one of two substrates using micro-electronic processing techniques and bonding the substrates. Bonding is performed by forming on a first substrate bonding regions of deformable material and pressing the substrates one against another so as to deform the bonding regions and to cause them to react chemically with the second substrate. The bonding regions are preferably formed by a thick layer of a material chosen from among aluminum, copper and nickel, covered by a thin layer of a material chosen from between palladium and platinum. Spacing regions ensure exact spacing between the two wafers.
    Type: Application
    Filed: June 21, 2007
    Publication date: November 1, 2007
    Applicant: STMICROELECTRONICS S.R.L.
    Inventors: Ubaldo Mastromatteo, Mauro Bombonati, Daniela Morin, Marta Mottura, Mauro Marchi