Using Semiconductor Or Insulator Technology, I.e., Soi Technology (epo) Patents (Class 257/E21.561)
  • Patent number: 8557668
    Abstract: Semiconductor devices with n-shaped bottom stress liners are formed. Embodiments include forming a protuberance on a substrate, conformally forming a sacrificial material layer over the protuberance, forming a gate stack above the sacrificial material layer on a silicon layer, removing the sacrificial material layer to form a tunnel, and forming a stress liner in the tunnel conforming to the shape of the protuberance. Embodiments further include forming a silicon layer over the sacrificial material layer and lining the tunnel with a passivation layer prior to forming the stress liner.
    Type: Grant
    Filed: January 12, 2012
    Date of Patent: October 15, 2013
    Assignee: GLOBALFOUNDRIES SINGAPORE Pte. Ltd.
    Inventors: Xiaodong Yang, Yanxiang Liu, Vara Govindeswara Reddy Vakada, Jinping Liu, Min Dai
  • Patent number: 8541841
    Abstract: Semiconductor devices and semiconductor device manufacturing methods. The semiconductor device manufacturing methods may form a memory cell having a silicon on insulator (SOI) structure only in one or more localized regions of a bulk semiconductor substrate by use selective etching. Accordingly, a different bias voltage may be applied to a peripheral device than to a memory cell having the SOI structure.
    Type: Grant
    Filed: March 5, 2012
    Date of Patent: September 24, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Won-joo Kim, Sang-moo Choi, Tae-hee Lee, Yoon-dong Park
  • Patent number: 8536650
    Abstract: A semiconductor structure is described. The structure includes a transistor formed in a semiconductor substrate, the semiconductor substrate having a semiconductor-on-insulator (SOI) layer; a channel associated with the transistor and formed on a first portion of the SOI layer; and a source/drain region associated with the transistor and formed in a second portion of the SOI layer and in a recess at each end of the channel, where the second portion of the SOI layer is substantially thicker than the first portion of the SOI layer. A method of fabricating the semiconductor structure is also described.
    Type: Grant
    Filed: February 3, 2011
    Date of Patent: September 17, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Junedong Lee
  • Patent number: 8530998
    Abstract: Methods and apparatus for producing a semiconductor on insulator structure include: subjecting an implantation surface of a donor single crystal semiconductor wafer to an ion implantation process to create an exfoliation layer of the donor semiconductor wafer; bonding the implantation surface of the exfoliation layer to a glass substrate using electrolysis, wherein a liquidus viscosity of the glass substrate is about 100,000 Poise or greater.
    Type: Grant
    Filed: October 28, 2008
    Date of Patent: September 10, 2013
    Assignee: Corning Incorporated
    Inventors: Kishor Purushottam Gadkaree, Matthew John Dejneka, Adam James Ellison
  • Publication number: 20130207226
    Abstract: A method for isolating semiconductor devices is described wherein an epitaxial insulating layer is grown on a semiconductor substrate. The epitaxial insulating layer is etched to form a recessed region within the layer. An epitaxial semiconductor material is grown with the recessed region to form a semiconductor device region separated from other potential device regions by non-recessed portions of the epitaxial insulating layer.
    Type: Application
    Filed: February 13, 2012
    Publication date: August 15, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Thomas N. Adam, Kangguo Cheng, Ali Khakifirooz, Alexander Reznicek, Raghavasimhan Sreenivasan
  • Patent number: 8492842
    Abstract: A method of forming an electrical device is provided that includes forming at least one semiconductor device on a first semiconductor layer of the SOI substrate. A handling structure is formed contacting the at least one semiconductor device and the first semiconductor layer. A second semiconductor layer and at least a portion of the dielectric layer of the SOI substrate are removed to provide a substantially exposed surface of the first semiconductor layer. A retrograded well may be formed by implanting dopant through the substantially exposed surface of the first semiconductor layer into a first thickness of the semiconductor layer that extends from the substantially exposed surface of the semiconductor layer, wherein a remaining thickness of the semiconductor layer is substantially free of the retrograded well dopant. The retrograded well may be laser annealed.
    Type: Grant
    Filed: March 26, 2012
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Huilong Zhu, Zhijiong Luo, Qingqing Liang, Haizhou Yin
  • Publication number: 20130181290
    Abstract: Provided is a structure for improved electrical signal isolation between adjacent devices situated in a top semiconductor layer of the structure and a method for the structure's fabrication. The structure comprises a gate situated on the top semiconductor layer, the top semiconductor layer situated over a base oxide layer, and the base oxide layer situated over a handle wafer. The top surface of the handle wafer is amorphized by an inert implant of Xenon or Argon to reduce carrier mobility in the handle wafer and improve electrical signal isolation between the adjacent devices situated in the top semiconductor layer.
    Type: Application
    Filed: November 7, 2012
    Publication date: July 18, 2013
    Applicant: Newport Fab, LLC dba Jazz Semiconductor
    Inventor: Newport Fab, LLC dba Jazz Semiconductor
  • Patent number: 8486739
    Abstract: An etchant for forming double-layered signal lines and electrodes of a liquid crystal display device includes hydrogen peroxide (H2O2), a phosphate, F-ions, an organic acid having a carboxyl group (—COOH), a copper (Cu) inhibitor, and a hydrogen peroxide (H2O2) stabilizer, wherein each of the double-layered signal lines and electrodes of the liquid crystal display device includes a first layer of one of aluminum (Al), aluminum alloy (Al-alloy), titanium (Ti), titanium alloy (Ti-alloy), tantalum (Ta), and a tantalum alloy (Ta-alloy) and a second layer of copper (Cu).
    Type: Grant
    Filed: June 7, 2011
    Date of Patent: July 16, 2013
    Assignee: LG Display Co., Ltd.
    Inventors: Won-Ho Cho, Gyoo-Chul Jo, Gue-Tai Lee, Jin-Gyu Kang, Beung-Hwa Jeong, Jin-Young Kim
  • Patent number: 8486772
    Abstract: The method of one embodiment of the present invention includes: a first step of irradiating a bond substrate with ions to form an embrittlement region in the bond substrate; a second step of bonding the bond substrate to a base substrate with an insulating layer therebetween; a third step of splitting the bond substrate at the embrittlement region to form a semiconductor layer over the base substrate with the insulating layer therebetween; and a fourth step of subjecting the bond substrate split at the embrittlement region to a first heat treatment in an argon atmosphere and then a second heat treatment in an atmosphere of a mixture of oxygen and nitrogen to form a reprocessed bond substrate. The reprocessed bond substrate is used again as a bond substrate in the first step.
    Type: Grant
    Filed: April 24, 2012
    Date of Patent: July 16, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Kazuya Hanaoka, Hideki Tsuya, Masaharu Nagai
  • Patent number: 8482070
    Abstract: An IC has cells placed in a cell row having a UTBOX-FDSOI pMOSFET including a ground beneath the pMOS, and an n-doped well beneath it and configured to apply a potential thereto, and a UTBOX-FDSOI nMOSFET including a ground beneath the nMOS, and a p-doped well beneath the ground and configured to apply a potential thereto, and cells, each including a UTBOX-FDSOI pMOSFET including a ground beneath the pMOS, and a p-doped well beneath the ground and configured to apply an electrical potential to the ground, and a UTBOX-FDSOI nMOSFET including a ground beneath the nMOS, and an n-doped well beneath the ground and configured to apply a potential thereto. The cells are placed so that pMOS's of standard cells belonging to a row align along it and a transition cell including a another well and contiguous with first row standard cells thus ensuring continuity with wells of those cells.
    Type: Grant
    Filed: August 1, 2012
    Date of Patent: July 9, 2013
    Assignee: STMicroelectronics (Crolles 2)
    Inventors: Philippe Flatresse, Bastien Giraud, Jean-Philippe Noel, Matthieu Le Boulaire
  • Patent number: 8481354
    Abstract: Methods for creating a microelectromechanical systems (MEMS) device using a single double, silicon-on-insulator (SOI) wafer. The double SOI wafer includes at least a base layer of silicon, a first layer of silicon, and a second layer of silicon, the layers of silicon are separated by an oxide layer. A stationary electrode with rigid support beams is formed into the second layer of silicon. A proof mass and at least one spring are formed into the first layer of silicon. The proof mass is separated from the stationary electrode by a first gap and the proof mass is separated from the base silicon layer by a second gap.
    Type: Grant
    Filed: June 7, 2010
    Date of Patent: July 9, 2013
    Assignee: Honeywell International Inc.
    Inventor: Lianzhong Yu
  • Patent number: 8476123
    Abstract: A method for manufacturing a thin film transistor array panel includes forming a gate line; forming an insulating layer on the gate line; forming first and second silicon layers first and second metal layers; forming a photoresist pattern having first and second portions; forming first and second metal patterns by etching the first and second metal layers; processing the first metal pattern with SF6 or SF6/He; forming silicon and semiconductor patterns by etching the second and first silicon layers; removing the first portion of the photoresist pattern; forming an upper layer of a data wire by wet etching the second metal pattern; forming a lower layer of the data wire and an ohmic contact by etching the first metal and amorphous silicon patterns; forming a passivation layer including a contact hole on the upper layer; and forming a pixel electrode on the passivation layer.
    Type: Grant
    Filed: May 17, 2011
    Date of Patent: July 2, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventors: Dong-Ju Yang, Yu-Gwang Jeong, Jean-Ho Song, Ki-Yeup Lee, Shin-Il Choi, Tae-Woo Kim
  • Patent number: 8466039
    Abstract: A method of cleaving a substrate is disclosed. A species, such as hydrogen or helium, is implanted into a substrate to form a layer of microbubbles. The substrate is then annealed a pressure greater than atmosphere. This annealing may be performed in the presence of the species that was implanted. This diffuses the species into the substrate. The substrate is then cleaved along the layer of microbubbles. Other steps to form an oxide layer or to bond to a handle also may be included.
    Type: Grant
    Filed: February 17, 2012
    Date of Patent: June 18, 2013
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Deepak A. Ramappa, Julian G. Blake
  • Patent number: 8460980
    Abstract: A strain-inducing semiconductor alloy may be formed on the basis of cavities that may extend deeply below the gate electrode structure, which may be accomplished by using a sequence of two etch processes. In a first etch process, the cavity may be formed on the basis of a well-defined lateral offset to ensure integrity of the gate electrode structure and, in a subsequent etch process, the cavity may be increased in a lateral direction while nevertheless reliably preserving a portion of the channel region. Consequently, the strain-inducing efficiency may be increased by appropriately positioning the strain-inducing material immediately below the channel region without compromising integrity of the gate electrode structure.
    Type: Grant
    Filed: February 22, 2010
    Date of Patent: June 11, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Stephan Kronholz, Maciej Wiatr, Matthias Kessler
  • Patent number: 8450160
    Abstract: A method of flattening a substrate includes forming a metal layer on an upper surface of a substrate, forming a photoresist layer covering the substrate and the metal layer, radiating light to the photoresist layer, through a lower surface of the substrate opposite to the upper surface, exposing the metal layer by developing the photoresist layer, exposing the upper surface of the substrate by etching the metal layer, etching the exposed upper surface of the substrate, and removing the photoresist layer.
    Type: Grant
    Filed: March 29, 2011
    Date of Patent: May 28, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventors: Pil Soon Hong, Gwui-Hyun Park, Sang Gab Kim
  • Patent number: 8450737
    Abstract: A thin film transistor array panel includes: a substrate; a signal line disposed on the substrate and including copper (Cu); a passivation layer disposed on the signal line and having a contact hole exposing a portion of the signal line; and a conductive layer disposed on the passivation layer and connected to the portion of the signal line through the contact hole, wherein the passivation layer includes an organic passivation layer including an organic insulator that does not include sulfur, and a method of manufacturing the thin film transistor prevents formation of foreign particles on the signal line.
    Type: Grant
    Filed: May 20, 2010
    Date of Patent: May 28, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventors: Shin-Il Choi, Yu-Gwang Jeong, Ki-Yeup Lee, Dong-Ju Yang, Jean-Ho Song
  • Patent number: 8445346
    Abstract: A method of fabricating a memory device includes providing a substrate having an insulating layer, forming first, second, and third conductive layers on the insulating layer, forming a mask on the third conductive layer, etching through the third conductive layer and a first portion thickness of the second conductive layer using the mask to provide an etched sidewall portions of the third conductive layer and an etched upper surface of the second polysilicon layer, and forming a liner layer along the etched sidewall portions and the etched upper surface.
    Type: Grant
    Filed: November 3, 2009
    Date of Patent: May 21, 2013
    Assignee: Macronix International Co., Ltd.
    Inventors: Hong-Ji Lee, Nan-Tsu Lian, Kuang-Chao Chen
  • Patent number: 8445338
    Abstract: The present invention provides a method for manufacturing a semiconductor device, by which a transistor including an active layer, a gate insulating film in contact with the active layer, and a gate electrode overlapping the active layer with the gate insulating film therebetween is provided; an impurity is added to a part of a first region overlapped with the gate electrode with the gate insulating film therebetween in the active layer and a second region but the first region in the active layer by adding the impurity to the active layer from one oblique direction; and the second region is situated in the one direction relative to the first region.
    Type: Grant
    Filed: July 16, 2012
    Date of Patent: May 21, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Keiichi Sekiguchi, Junichi Koezuka, Yasuyuki Arai, Shunpei Yamazaki
  • Publication number: 20130119507
    Abstract: Semiconductor devices using a group III-V material, and methods of manufacturing the same, include a substrate having a groove, a group III-V material layer filling in the groove and having a height the same as a height of the substrate, a first semiconductor device on the group III-V material layer, and a second semiconductor device on the substrate near the groove. The group III-V material layer is spaced apart from inner side surfaces of the groove.
    Type: Application
    Filed: September 13, 2012
    Publication date: May 16, 2013
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sang-moon LEE, Young-jin CHO
  • Patent number: 8440510
    Abstract: The method for manufacturing the semiconductor device is as follows: forming a gate electrode; forming a first insulating film over the gate electrode; performing halogen doping treatment on the first insulating film so that the first insulating film is supplied with a halogen atom; forming an oxide semiconductor film over the first insulating film so as to overlap with the gate electrode; performing heat treatment on the oxide semiconductor film so that a hydrogen atom is removed in the oxide semiconductor film; performing oxygen doping treatment on the oxide semiconductor film from which the hydrogen atom is removed so that the oxide semiconductor film is supplied with an oxygen atom; performing heat treatment on the oxide semiconductor film to which the oxygen atom is supplied; forming a source electrode and a drain electrode on and in contact with the oxide semiconductor film; forming a second insulating film.
    Type: Grant
    Filed: May 10, 2011
    Date of Patent: May 14, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 8440502
    Abstract: An object is to suppress deterioration of element characteristics even when an oxide semiconductor is formed after a gate insulating layer, a source electrode layer, and a drain electrode layer are formed. A gate electrode layer is formed over a substrate. A gate insulating layer is formed over the gate electrode layer. A source electrode layer and a drain electrode layer are formed over the gate insulating layer. Surface treatment is performed on surfaces of the gate insulating layer, the source electrode layer, and the drain electrode layer which are formed over the substrate. After the surface treatment is performed, an oxide semiconductor layer is formed over the gate insulating layer, the source electrode layer, and the drain electrode layer.
    Type: Grant
    Filed: September 9, 2011
    Date of Patent: May 14, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Kengo Akimoto, Masashi Tsubuku
  • Patent number: 8435857
    Abstract: According to one embodiment, a method for manufacturing a semiconductor memory device, includes forming a stacked body on a substrate by alternately stacking a first insulating film and a second insulating film, making a through-hole extending in a stacking direction of the first insulating film and the second insulating film to pierce the stacked body, forming at least a portion of a blocking insulating film, a charge trap film, and a tunneling dielectric film of a MONOS on an inner surface of the through-hole, forming a channel semiconductor on the tunneling dielectric film, making a trench in the stacked body, removing the second insulating film by performing etching via the trench, and filling a conductive material into a space made by the removing of the second insulating film.
    Type: Grant
    Filed: August 26, 2011
    Date of Patent: May 7, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masahiro Kiyotoshi
  • Patent number: 8435810
    Abstract: A method of manufacturing an organic light emitting display device includes providing a panel including a first opening portion formed in a first substrate and a second opening portion spaced apart from the first opening portion, disposing a transmissive-window forming composition in the second opening portion, forming an organic layer in the first opening portion, forming a metal layer on the panel so as to cover the first opening portion and the second opening portion, and forming a transmissive window by volatilizing the transmissive-window forming composition to open a region of the metal layer corresponding to the second opening portion.
    Type: Grant
    Filed: February 24, 2011
    Date of Patent: May 7, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventor: Joo-Hyeon Lee
  • Patent number: 8420459
    Abstract: A fin field-effect-transistor fabricated by forming a dummy fin structure on a semiconductor substrate. A dielectric layer is formed on the semiconductor substrate. The dielectric layer surrounds the dummy fin structure. The dummy fin structure is removed to form a cavity within the dielectric layer. The cavity exposes a portion of the semiconductor substrate thereby forming an exposed portion of the semiconductor substrate within the cavity. A dopant is implanted into the exposed portion of the semiconductor substrate within the cavity thereby creating a dopant implanted exposed portion of the semiconductor substrate within the cavity. A semiconductor layer is epitaxially grown within the cavity atop the dopant implanted exposed portion of the semiconductor substrate.
    Type: Grant
    Filed: October 20, 2011
    Date of Patent: April 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Balasubramanian S. Haran, Shom Ponoth, Theodorus E. Standaert, Tenko Yamashita
  • Publication number: 20130087854
    Abstract: A high withstand voltage transistor is formed in a high withstand voltage region, and a low withstand voltage transistor is formed in a low withstand voltage region in a method of manufacturing a semiconductor device. The method includes forming a thermal oxide film and a silicon nitride film over the surface of a silicon substrate; forming an opening to the thermal oxide film and the silicon nitride film in each of the high withstand voltage region and the low withstand voltage region; etching the silicon substrate to form trenches; burying a buried oxide film in each of the trenches; removing the thermal oxide film and the silicon nitride film; and forming a thick gate oxide film and a thin oxide film. The depth of a tapered portion of the trench in the low withstand voltage region is shallower than that in the high withstand voltage region.
    Type: Application
    Filed: September 14, 2012
    Publication date: April 11, 2013
    Inventor: Tadahiro MIWATASHI
  • Patent number: 8404529
    Abstract: A thin film transistor for an organic light emitting diode includes a substrate including a pixel portion and an interconnection portion, a buffer layer on the substrate, a gate electrode and a gate interconnection on the buffer layer, wherein the gate electrode is located at the pixel portion and the gate interconnection is located at the interconnection portion, a gate insulating layer on the substrate, a semiconductor layer on the gate electrode, source and drain electrodes electrically connected to the semiconductor layer, and a metal pattern on the gate interconnection.
    Type: Grant
    Filed: March 27, 2012
    Date of Patent: March 26, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventors: Ji-Su Ahn, Sung-Chul Kim, Beong-Ju Kim
  • Publication number: 20130071992
    Abstract: A semiconductor process is provided. An insulating layer is formed on a semiconductor substrate. A portion of the insulating layer is removed, so as to form a plurality of isolation structures and a mesh opening disposed between the isolation structures and exposing the semiconductor substrate. By performing a selective growth process, a semiconductor layer is formed from a surface of the semiconductor substrate exposed by the mesh opening, so that the isolation structures are disposed in the semiconductor layer.
    Type: Application
    Filed: September 21, 2011
    Publication date: March 21, 2013
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Kuo-Hui Su, Yi-Nan Chen, Hsien-Wen Liu
  • Patent number: 8389382
    Abstract: A method for manufacturing a bonded wafer including the steps of: implanting at least one gas ion of a hydrogen ion and a rare gas ion into a bond wafer from a surface thereof to form an ion-implanted layer; bonding the ion-implanted surface of the bond wafer to a surface of a base wafer directly or through an oxide film; thereafter delaminating the bond wafer at the ion-implanted layer to prepare the bonded wafer having a silicon thin film formed on the base wafer; and performing a flattening heat treatment on the bonded wafer under an atmosphere containing hydrogen or hydrogen chloride, wherein a dopant gas is added into the atmosphere of the flattening heat treatment to perform the heat treatment, the dopant gas having the same conductivity type as a dopant contained in the silicon thin film.
    Type: Grant
    Filed: October 15, 2009
    Date of Patent: March 5, 2013
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Satoshi Oka, Nobuhiko Noto
  • Patent number: 8389392
    Abstract: The present invention relates to a FinFET with separate gates and to a method for fabricating the same. A dielectric gate-separation layer between first and second gate electrodes has an extension in a direction pointing from a first to a second gate layer that is smaller than a lateral extension of the fin between its opposite lateral faces. This structure corresponds with a processing method that starts from a covered basic FinFET structure with a continuous first gate layer, and proceeds to remove parts of the first gate layer and of a first gate-isolation layer through a contact opening to the gate layer. Subsequently, a replacement gate-isolation layer that at the same time forms the gate separation layer fabricated, followed by filling the tunnel with a replacement gate layer and a metal filling.
    Type: Grant
    Filed: February 9, 2009
    Date of Patent: March 5, 2013
    Assignee: NXP B.V.
    Inventors: Jan Sonsky, Radu Surdeanu
  • Patent number: 8383493
    Abstract: A method of producing a layered semiconductor device comprises the steps of: (a) providing a base comprising a plurality of semiconductor nano-structures, (b) growing a semiconductor material onto the nano-structures using an epitaxial 5 growth process, and (c) growing a layer of the semiconductor material using an epitaxial growth process.
    Type: Grant
    Filed: January 31, 2008
    Date of Patent: February 26, 2013
    Inventor: Wang Nang Wang
  • Patent number: 8383467
    Abstract: A thin film transistor (TFT) and a method of manufacturing the same are provided, the TFT including a gate insulating layer on a gate. A channel may be formed on a portion of the gate insulating layer corresponding to the gate. A metal material may be formed on a surface of the channel. The metal material crystallizes the channel. A source and a drain may contact side surfaces of the channel.
    Type: Grant
    Filed: August 1, 2012
    Date of Patent: February 26, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Byung-wook Yoo, Sang-yoon Lee, Myung-kwan Ryu, Tae-sang Kim, Jang-yeon Kwon, Kyung-bae Park, Kyung-seok Son, Ji-sim Jung
  • Patent number: 8377825
    Abstract: Methods and apparatus for reducing damage of a semiconductor donor wafer include the steps of: (a) rotating a polishing pad, rotating the semiconductor donor wafer, applying a polishing slurry to the polishing pad, and pressing the semiconductor donor wafer and the polishing pad together; and (b) rotating the polishing pad and the semiconductor donor wafer, discontinuing the application of the polishing slurry, applying a rinsing fluid to the polishing pad, and pressing the semiconductor donor wafer and the polishing pad together, wherein step (a) followed by step (b) is carried out in sequence at least two times, and at least one of the following are reduced in at least two successive intervals of step (a): (i) a pressure at which the semiconductor donor wafer and the polishing pad are pressed together, (ii) a mean particle size of an abrasive within the polishing slurry, and (iii) a concentration of the slurry in water and stabilizers.
    Type: Grant
    Filed: October 30, 2009
    Date of Patent: February 19, 2013
    Assignee: Corning Incorporated
    Inventors: Jonas Bankaitis, Michael John Moore
  • Patent number: 8362561
    Abstract: A transistor device (10), the transistor device (10) comprising a substrate (11, 14), a fin (3, 3A) aligned along a horizontal direction on the substrate (11, 14), a first source/drain region (4) of a first type of conductivity in the fin (3, 3A), a second source/drain region (5) of a second type of conductivity in the fin (3, 3A), wherein the first type of conductivity differs from the second type of conductivity, a channel region (33) in the fin (3, 3A) between the first source/drain region (4) and the second source/drain region (5), a gate insulator (6) on the channel region (33), and a gate structure (7, 8) on the gate insulator (6), wherein the sequence of the first source/drain region (4), the channel region (33) and the second source/drain region (5) is aligned along the horizontal direction.
    Type: Grant
    Filed: December 10, 2007
    Date of Patent: January 29, 2013
    Assignee: NXP B.V.
    Inventors: Sebastien Nuttinck, Gilberto Curatola
  • Patent number: 8361888
    Abstract: The present invention provides a method for manufacturing an SOI wafer wherein an HCl gas is mixed in a reactive gas at a step of forming a silicon epitaxial layer on an entire surface of an SOI layer of the SOI wafer having an oxide film on a terrace portion. As a result, it is possible to provide the method for manufacturing an SOI wafer that can easily grow the silicon epitaxial layer on the SOI layer of the SOI wafer having the oxide film on the terrace portion, suppress warpage of the SOI wafer to be manufactured, reduce generation of particles even at subsequent steps, e.g., device manufacture, and decrease a cost for manufacturing such an SOI wafer.
    Type: Grant
    Filed: May 27, 2008
    Date of Patent: January 29, 2013
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Isao Yokokawa, Nobuhiko Noto
  • Patent number: 8357562
    Abstract: A structure to diminish high voltage instability in a high voltage device when under stress includes an amorphous silicon layer over a field oxide on the high voltage device.
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: January 22, 2013
    Assignee: Fairchild Semiconductor Corporation
    Inventor: Jifa Hao
  • Publication number: 20130015502
    Abstract: A structure and method for fabricating a light emitting diode and a light detecting diode on a silicon-on-insulator (SOI) wafer is provided. Specifically, the structure and method involves forming a light emitting diode and light detecting diode on the SOI wafer's backside and utilizing a deep trench formed in the wafer as an alignment marker. The alignment marker can be detected by x-ray diffraction, reflectivity, or diffraction grating techniques. Moreover, the alignment marker can be utilized to pattern openings and perform ion implantation to create p-n junctions for the light emitting diode and light detecting diode. By utilizing the SOI wafer's backside, the structure and method increases the number of light emitting diodes and light detecting diodes that can be formed on a SOI wafer, enables an increase in overall device density for an integrated circuit, and reduces attenuation of light signals being emitted and detected by the diodes.
    Type: Application
    Filed: July 11, 2011
    Publication date: January 17, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Benjamin A. Fox, Nathaniel J. Gibbs, Andrew B. Maki, David M. Onsongo, Trevor J. Timpane
  • Patent number: 8354719
    Abstract: A semiconductor device and related fabrication methods are provided. One exemplary fabrication method forms a fin arrangement overlying an oxide layer, where the fin arrangement includes one or more semiconductor fin structures. The method continues by nitriding exposed portions of the oxide layer without nitriding the one or more semiconductor fin structures, resulting in nitrided portions of the oxide layer. Thereafter, a gate structure is formed transversely overlying the fin arrangement, and overlying the exposed portions of the oxide layer. The nitrided portions of the oxide layer substantially inhibit diffusion of oxygen from the oxide layer into the gate structure.
    Type: Grant
    Filed: February 18, 2010
    Date of Patent: January 15, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Kisik Choi, Robert J. Miller
  • Publication number: 20130011975
    Abstract: A gate stack is formed on a silicon layer that is above a buried oxide layer. The gate stack comprises a high-k oxide layer on the silicon layer and a metal gate on the high-k oxide layer. A first nitride layer is formed on the silicon layer and the gate stack. An oxide layer is formed on the first nitride layer. A second nitride layer is formed on the oxide layer. The first nitride layer and the oxide layer are etched so as to form a nitride liner and an oxide liner adjacent to the gate stack. The second nitride layer is etched so as to form a first nitride spacer adjacent to the oxide liner. A faceted raised source/drain region is epitaxially formed adjacent to the nitride liner, the oxide liner, and first nitride spacer. Ions are implanted into the faceted raised source/drain region using the first nitride spacer.
    Type: Application
    Filed: September 13, 2012
    Publication date: January 10, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo CHENG, Bruce DORIS, Ali KHAKIFIROOZ, Pranita KULKARNI, Ghavam SHAHIDI
  • Patent number: 8343818
    Abstract: A method of forming an electrical device is provided that includes forming at least one semiconductor device on a first semiconductor layer of the SOI substrate. A handling structure is formed contacting the at least one semiconductor device and the first semiconductor layer. A second semiconductor layer and at least a portion of the dielectric layer of the SOI substrate are removed to provide a substantially exposed surface of the first semiconductor layer. A retrograded well may be formed by implanting dopant through the substantially exposed surface of the first semiconductor layer into a first thickness of the semiconductor layer that extends from the substantially exposed surface of the semiconductor layer, wherein a remaining thickness of the semiconductor layer is substantially free of the retrograded well dopant. The retrograded well may be laser annealed.
    Type: Grant
    Filed: January 14, 2010
    Date of Patent: January 1, 2013
    Assignee: International Business Machines Corporation
    Inventors: Huilong Zhu, Zhijiong Luo, Qingqing Liang, Haizhou Yin
  • Patent number: 8338270
    Abstract: First etching is performed on a surface of a single crystal semiconductor layer formed with no substrate bias applied. The single crystal semiconductor layer is formed by attaching a single crystal semiconductor substrate including an embrittled region to a supporting substrate so that an oxide layer is sandwiched between the single crystal semiconductor substrate and the supporting substrate and separating the single crystal semiconductor substrate into the single crystal semiconductor layer and part of the single crystal semiconductor substrate at the embrittled region. After the first etching, the single crystal semiconductor layer is irradiated with a laser beam and at least part of the surface of the single crystal semiconductor layer is melted and solidified. Then, second etching is performed on the surface of the single crystal semiconductor layer with no substrate bias applied.
    Type: Grant
    Filed: October 12, 2011
    Date of Patent: December 25, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Kosei Noda
  • Patent number: 8338246
    Abstract: A method for manufacturing a semiconductor device includes forming a semiconductor substrate to have a SOI structure by an epitaxial process for forming a gate while forming an insulating film pattern in a bottom where a device isolation trench is formed. The method thereby increases the process margin for forming a device isolation film and prevents the punch-through phenomenon to improve electrical characteristics of semiconductor devices and increase product yield.
    Type: Grant
    Filed: September 30, 2009
    Date of Patent: December 25, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Song Hyeuk Im
  • Patent number: 8338909
    Abstract: A method of forming an integrated circuit structure includes providing a semiconductor substrate; forming a first isolation region in the semiconductor substrate; after the step of forming the first isolation region, forming a metal-oxide-semiconductor (MOS) device at a surface of the semiconductor substrate, wherein the step of forming the MOS device comprises forming a source/drain region; and after the step of forming the MOS device, forming a second isolation region in the semiconductor substrate.
    Type: Grant
    Filed: October 22, 2010
    Date of Patent: December 25, 2012
    Assignee: Taiwan Semiconductor Manufactuirng Company, Ltd.
    Inventor: Ka-Hing Fung
  • Patent number: 8324032
    Abstract: Formation of LDD structures and GOLD structures in a semiconductor device is conventionally performed in a self aligning manner with gate electrodes as masks, but there are many cases in which the gate electrodes have two layer structures, and film formation processes and etching processes become complex. Further, in order to perform formation of LDD structures and GOLD structures only by processes such as dry etching, the transistor structures all have the same structure, and it is difficult to form LDD structures, GOLD structures, and single drain structures separately for different circuits.
    Type: Grant
    Filed: June 3, 2011
    Date of Patent: December 4, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hideto Ohnuma, Ichiro Uehara
  • Patent number: 8324072
    Abstract: A process for treating a semiconductor-on-insulator type structure that includes, successively, a support substrate, an oxide layer and a thin semiconductor layer. The process includes formation of a silicon nitride or silicon oxynitride mask on the thin semiconductor layer to define exposed areas at the surface of the layer which are not covered by the mask, and which are arranged in a desired pattern; and application of a heat treatment in a neutral or controlled reducing atmosphere and under controlled conditions of temperature and time to induce at least a portion of the oxygen of the oxide layer to diffuse through the thin semiconductor layer, thereby resulting in the controlled reduction in the oxide thickness in the areas of the oxide layer corresponding to the desired pattern. The mask is formed so as to be at least partially buried in the thickness of the thin semiconductor layer.
    Type: Grant
    Filed: September 21, 2009
    Date of Patent: December 4, 2012
    Assignee: Soitec
    Inventors: Christelle Veytizou, Fabrice Gritti, Eric Guiot, Oleg Kononchuk, Didier Landru
  • Patent number: 8313989
    Abstract: To provide an SOI substrate having a high mechanical strength, and a method for manufacturing the SOI substrate, a single crystal semiconductor substrate is irradiated with accelerated ions so that an embrittled region is formed in a region at a predetermined depth from a surface of the single crystal semiconductor substrate; the single crystal semiconductor substrate is bonded to a base substrate with an insulating layer interposed therebetween; the single crystal semiconductor substrate is heated to be separated along the embrittled region, so that a semiconductor layer is provided over the base substrate with the insulating layer interposed therebetween; and a surface of the semiconductor layer is irradiated with a laser beam so that at least a superficial part of the semiconductor layer is melted, whereby at least one of nitrogen, oxygen, and carbon is solid-dissolved in the semiconductor layer.
    Type: Grant
    Filed: October 16, 2009
    Date of Patent: November 20, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hideto Ohnuma, Eiji Higa
  • Patent number: 8309406
    Abstract: Electric characteristics of a thin film transistor including a channel formation region including a microcrystalline semiconductor are improved. The thin film transistor includes a gate electrode, a gate insulating film formed over the gate electrode, a microcrystalline semiconductor layer formed over the gate insulating film, a semiconductor layer which is formed over the microcrystalline semiconductor layer and includes an amorphous semiconductor, and a source region and a drain region which are formed over the semiconductor layer. A channel is formed in the microcrystalline semiconductor layer when the thin film transistor is placed in an on state, and the microcrystalline semiconductor layer includes an impurity element for functioning as an acceptor. The microcrystalline semiconductor layer is formed by a plasma-enhanced chemical vapor deposition method.
    Type: Grant
    Filed: December 28, 2011
    Date of Patent: November 13, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Makoto Furuno
  • Patent number: 8309404
    Abstract: A thin film transistor comprises an Si-based channel having a nonlinear electron-moving path, a source and a drain disposed at both sides of the channel, a gate disposed above the channel, an insulator interposed between the channel and the gate, and a substrate supporting the channel and the source and the drain disposed at either side of the channel respectively.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: November 13, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Huaxiang Yin, Takashi Noguchi, Hyuk Lim, Wenxu Xianyu, Hans S. Cho
  • Patent number: 8299537
    Abstract: A semiconductor-on-insulator substrate and a related semiconductor structure, as well as a method for fabricating the semiconductor-on-insulator substrate and the related semiconductor structure, provide for a multiple order radio frequency harmonic suppressing region located and formed within a base semiconductor substrate at a location beneath an interface of a buried dielectric layer with the base semiconductor substrate within the semiconductor-on-insulator substrate. The multiple order radio frequency harmonic suppressing region may comprise an ion implanted atom, such as but not limited to a noble gas atom, to provide a suppressed multiple order radio frequency harmonic when powering a radio frequency device, such as but not limited to a radio frequency complementary metal oxide semiconductor device (or alternatively a passive device), located and formed within and upon a surface semiconductor layer within the semiconductor structure.
    Type: Grant
    Filed: February 11, 2009
    Date of Patent: October 30, 2012
    Assignee: International Business Machines Corporation
    Inventors: Joseph R. Greco, Kevin Munger, Richard A. Phelps, Jennifer C. Robbins, William Savaria, James A. Slinkman, Randy L. Wolf
  • Patent number: 8293558
    Abstract: The present disclosure relates to methods for selectively etching a porous semiconductor layer to separate a thin-film semiconductor substrate (TFSS) having planar or three-dimensional features from a corresponding semiconductor template. The method involves forming a conformal sacrificial porous semiconductor layer on a template. Next, a conformal thin film silicon substrate is formed on top of the porous silicon layer. The middle porous silicon layer is then selectively etched to separate the TFSS and semiconductor template. The disclosed advanced etching chemistries and etching methods achieve selective etching with minimal damage to the TFSS and template.
    Type: Grant
    Filed: March 8, 2010
    Date of Patent: October 23, 2012
    Assignee: Solexel, Inc.
    Inventors: David Xuan-Qi Wang, Mehrdad M. Moslehi, Rafael Ricolcol, Joe Kramer
  • Patent number: RE44531
    Abstract: A thin film transistor includes a gate, a gate insulator layer, a channel layer, a source, a drain, and an ohmic contact layer. The gate insulator layer covers the gate; the channel layer is disposed on the gate insulator layer above the gate; the source and the drain are disposed on the channel layer; the ohmic contact layer is disposed between the channel layer and the source and drain. The ohmic contact layer is constituted by a number of film layers. As mentioned above, the thin film transistor has an ohmic contact layer constituted by a number of film layers. When the thin film transistor is turned off, the current leakage thereof is lowered than that of a conventional thin film transistor.
    Type: Grant
    Filed: February 23, 2012
    Date of Patent: October 8, 2013
    Assignee: Intellectual Ventures Fund 82 LLC
    Inventors: Min-Ching Hsu, Yung-Lung Mo