Using Semiconductor Or Insulator Technology, I.e., Soi Technology (epo) Patents (Class 257/E21.561)
  • Patent number: 8293557
    Abstract: A method for manufacturing a MEMS device, includes: preparing a substrate provided with a first substrate in which a cavity is formed, and a second substrate that is bonded to a side of the first substrate on which the cavity is formed and includes a slit to delimit a movable portion in a position corresponding to the cavity, the second substrate, including a first surface thereof facing the first substrate, being provided with a thermally-oxidized film selectively formed on the first surface in a position corresponding to the movable portion; forming a first electrode layer on a second surface opposite to the first surface on which the thermally-oxidized film for the movable portion is formed; forming a sacrifice layer on the first electrode layer and the second substrate; forming a second electrode layer on the sacrifice layer; and removing the sacrifice layer and the thermally-oxidized film after the second electrode layer is formed.
    Type: Grant
    Filed: January 24, 2011
    Date of Patent: October 23, 2012
    Assignee: Fujitsu Limited
    Inventors: Hiroaki Inoue, Tadashi Nakatani, Satoshi Ueda
  • Patent number: 8278193
    Abstract: Methods of fabricating semiconductor devices or structures include forming structures of a semiconductor material overlying a layer of a compliant material, subsequently changing the viscosity of the compliant material to relax the semiconductor material structures, and utilizing the relaxed semiconductor material structures as a seed layer in forming a continuous layer of relaxed semiconductor material. In some embodiments, the layer of semiconductor material may comprise a III-V type semiconductor material, such as, for example, indium gallium nitride. Novel intermediate structures are formed during such methods. Engineered substrates include a continuous layer of semiconductor material having a relaxed lattice structure.
    Type: Grant
    Filed: October 8, 2009
    Date of Patent: October 2, 2012
    Assignee: SOITEC
    Inventor: Chantal Arena
  • Patent number: 8263444
    Abstract: Semiconductor-on-insulator (SOI) field effect transistors include a semiconductor substrate and a first semiconductor active region on a first portion of a surface of the substrate. A first electrically insulating layer is provided. This first electrically insulating layer extends on a second portion of the surface of the substrate and also on a first sidewall of the first semiconductor active region. A second electrically insulating layer is provided, which extends on a third portion of the surface of the semiconductor substrate. The second electrically insulating layer also extends on a second sidewall of the first semiconductor active region. A second semiconductor active region is provided on the first semiconductor active region. The second semiconductor active region extends on the first semiconductor active region and on ends of the first and second electrically insulating layers.
    Type: Grant
    Filed: September 9, 2011
    Date of Patent: September 11, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-young Lee, Dong-suk Shin
  • Publication number: 20120217467
    Abstract: A Fin FET SONOS device is formed with a full buried channel. Embodiments include forming p-type silicon fins protruding from a first oxide layer, an n-type silicon layer over exposed surfaces of the fins, a second oxide layer, a nitride layer, and a third oxide layer sequentially on the n-type silicon layer, and a polysilicon layer on the third oxide layer. Embodiments include etching a silicon layer to form the fins and forming the oxide on the silicon layer. Different embodiments include: etching a silicon layer on a BOX layer to form the fins; forming the fins with a rounded top surface; and forming nano-wires surrounded by an n-type silicon layer, a first oxide layer, a nitride layer, a second oxide layer, and a polysilicon layer over a BOX layer.
    Type: Application
    Filed: February 24, 2011
    Publication date: August 30, 2012
    Applicant: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Shyue Seng (Jason) Tan, Eng Huat Toh, Elgin Quek
  • Publication number: 20120211862
    Abstract: The method for manufacturing an SOI substrate includes the following steps: forming an insulating film on a semiconductor substrate; exposing the semiconductor substrate to accelerated ions so that an embrittlement region is formed in the semiconductor substrate; bonding the semiconductor substrate to a base substrate with the insulating film interposed therebetween; separating the semiconductor substrate along the embrittlement region so that a semiconductor film is provided over the base substrate with the insulating film interposed therebetween; and forming a mask over the semiconductor film to etch part of the semiconductor film and part of the insulating film so that the periphery of the semiconductor film is on the inner side than the periphery of the insulating film.
    Type: Application
    Filed: February 14, 2012
    Publication date: August 23, 2012
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Masaharu NAGAI, Hideto OHNUMA, Kosei NEI
  • Patent number: 8242560
    Abstract: A semiconductor device is provided that in one embodiment includes at least one semiconductor fin structure atop a dielectric surface, the semiconductor fin structure including a channel region of a first conductivity type and source and drain regions of a second conductivity type, in which the source and drain regions are present at opposing ends of the semiconductor fin structure. A high-k gate dielectric layer having a thickness ranging from 1.0 nm to 5.0 nm is in direct contact with the channel of the semiconductor fin structure. At least one gate conductor layer is in direct contact with the high-k gate dielectric layer. A method of forming the aforementioned device is also provided.
    Type: Grant
    Filed: January 15, 2010
    Date of Patent: August 14, 2012
    Assignee: International Business Machines Corporation
    Inventors: Eduard A. Cartier, Kangguo Cheng, Bruce B. Doris, Ying Zhang
  • Patent number: 8236633
    Abstract: The present invention provides a method for manufacturing a semiconductor device, by which a transistor including an active layer, a gate insulating film in contact with the active layer, and a gate electrode overlapping the active layer with the gate insulating film therebetween is provided; an impurity is added to a part of a first region overlapped with the gate electrode with the gate insulating film therebetween in the active layer and a second region but the first region in the active layer by adding the impurity to the active layer from one oblique direction; and the second region is situated in the one direction relative to the first region.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: August 7, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Keiichi Sekiguchi, Junichi Koezuka, Yasuyuki Arai, Shunpei Yamazaki
  • Patent number: 8236628
    Abstract: A method of manufacturing an array substrate comprising: forming a data line and a gate line which are crossed with each other and a gate electrode on a base substrate, and the data line is discontinuously disposed so as to be separated from the gate line or the gate line is discontinuously disposed so as to be separated from the data line; forming an active layer and a gate insulating layer including bridge via holes and a source electrode via hole on the base substrate, and the bridge via holes are located at positions respectively corresponding to adjacent discontinuous sections of the data line or adjacent discontinuous sections of the gate line, and the source electrode via hole is located at a position corresponding to the data line; and forming a pixel electrode, a source electrode, a drain electrode and a bridge line on the base substrate, and the pixel electrode and the drain electrode are formed integrally, and the source electrode is connected to the data line through the source electrode via hole,
    Type: Grant
    Filed: September 22, 2010
    Date of Patent: August 7, 2012
    Assignee: Beijing BOE Optoelectronics Technology Co., Ltd.
    Inventors: Xiang Liu, Zhenyu Xie, Xu Chen
  • Patent number: 8232149
    Abstract: An SOI device includes an SOI substrate having a stacked structure including a buried oxide layer and a first silicon layer sequentially stacked on a silicon substrate. The SOI substrate possesses grooves having a depth that extends from an upper surface of the first silicon layer to a partial depth of the buried oxide layer. An insulation layer is formed on the lower surfaces of the grooves and a second silicon layer is formed filling the grooves having the insulation layer formed thereon. Gates are formed on the second silicon layer and junction regions are formed in the first silicon layer on both sides of the gates to contact the insulation layer.
    Type: Grant
    Filed: December 8, 2008
    Date of Patent: July 31, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Bo Youn Kim
  • Patent number: 8227300
    Abstract: A semiconductor circuit includes a plurality of semiconductor devices, each including a semiconductor islands having at least one electrical dopant atom and located on an insulator layer. Each semiconductor island is encapsulated by dielectric materials including at least one dielectric material portion. Conductive material portions, at least one of which abut two dielectric material portions that abut two distinct semiconductor islands, are located directly on the at least one dielectric material layer. At least one gate conductor is provided which overlies at least two semiconductor islands. Conduction across a dielectric material portion between a semiconductor island and a conductive material portion is effected by quantum tunneling. The conductive material portions and the at least one gate conductor are employed to form a semiconductor circuit having a low leakage current. A design structure for the semiconductor circuit is also provided.
    Type: Grant
    Filed: December 8, 2009
    Date of Patent: July 24, 2012
    Assignee: International Business Machines Corporation
    Inventors: Zhong-Xiang He, Qizhi Liu
  • Publication number: 20120181591
    Abstract: An electronic device includes a substrate with a semiconducting surface having a plurality of fin-type projections coextending in a first direction through a memory cell region and select gate regions. The electronic device further includes a dielectric isolation material disposed in spaces between the projections. In the electronic device, the dielectric isolation material in the memory cell regions have a height less than a height of the projections in the memory cell regions, and the dielectric isolation material in the select gate regions have a height greater than or equal to than a height of the projections in the select gate regions. The electronic device further includes gate features disposed on the substrate within the memory cell region and the select gate regions over the projections and the dielectric isolation material, where the gate features coextend in a second direction transverse to the first direction.
    Type: Application
    Filed: January 13, 2011
    Publication date: July 19, 2012
    Applicant: Spansion LLC
    Inventors: Chun CHEN, Shenqing Fang
  • Patent number: 8212338
    Abstract: A semiconductor device (having an interlayer insulating film) which is sufficiently low in the dielectric constant and high in the mechanical strength is provided. A manufacturing method of a semiconductor device includes: a step of forming a dielectric thin film in which a plurality of pores are arranged around a skeleton mainly made of a Si—O bond, on a surface of a semiconductor substrate on which a desired element region is formed; a step of applying patterning on a surface of the dielectric thin film through a mask; and a step of bringing a gas containing at least one kind of tetramethylcyclotetrasiloxane (TMCTS), hexamethyldisilazane (HMDS) and trimethylchlorosilane (TMCS) molecules into contact with the patterned surface of the dielectric thin film.
    Type: Grant
    Filed: April 14, 2010
    Date of Patent: July 3, 2012
    Assignee: ULVAC
    Inventors: Yoshiaki Oku, Nobutoshi Fujii, Kazuo Kohmura
  • Patent number: 8202768
    Abstract: The present disclosure provides a semiconductor device and method of fabricating a semiconductor device. In an embodiment, the semiconductor device is a finFET device. In an embodiment, the semiconductor device is a silicon on insulator (SOI) device. A method of fabricating the semiconductor device includes providing a substrate, forming an oxide layer on the substrate, forming a fin on a portion of the oxide layer, forming a high k dielectric layer on a portion of the oxide layer and on a portion of the fin, forming a tuned, stressed metal gate on the dielectric layer, and forming a poly-cap on the metal gate. The method of fabrication provided may allow use of SOI substrate or bulk silicon substrates.
    Type: Grant
    Filed: October 7, 2009
    Date of Patent: June 19, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Robert James Pascoe Lander
  • Publication number: 20120146175
    Abstract: An insulating region for a semiconductor wafer and a method of forming same. The insulating region can include a tri-layer structure of silicon oxide, boron nitride and silicon oxide. The insulating region may be used to insulate a semiconductor device layer from an underlying bulk semiconductor substrate. The insulating region can be formed by coating the sides of a very thin cavity with silicon oxide, and filling the remainder of the cavity between the silicon oxide regions with boron nitride.
    Type: Application
    Filed: December 9, 2010
    Publication date: June 14, 2012
    Inventors: Nicolas Loubet, Qing Liu, Sanjay C. Mehta, Spyridon Skordas
  • Patent number: 8198673
    Abstract: The present invention provides a method of forming asymmetric field-effect-transistors. The method includes forming a gate structure on top of a semiconductor substrate, the gate structure including a gate stack and spacers adjacent to sidewalls of the gate stack, and having a first side and a second side opposite to the first side; performing angled ion-implantation from the first side of the gate structure in the substrate, thereby forming an ion-implanted region adjacent to the first side, wherein the gate structure prevents the angled ion-implantation from reaching the substrate adjacent to the second side of the gate structure; and performing epitaxial growth on the substrate at the first and second sides of the gate structure. As a result, epitaxial growth on the ion-implanted region is much slower than a region experiencing no ion-implantation.
    Type: Grant
    Filed: April 6, 2011
    Date of Patent: June 12, 2012
    Assignee: International Business Machines Corporation
    Inventors: Haizhou Yin, Xinhui Wang, Kevin K. Chan, Zhibin Ren
  • Patent number: 8198148
    Abstract: Provided is a method for manufacturing a semiconductor device. The method includes: providing a first substrate where an active layer is formed on a buried insulation layer; forming a gate insulation layer on the active layer; forming a gate electrode on the gate insulation layer; forming a source/drain region on the active layer at both sides of the gate electrode; exposing the buried insulation layer around a thin film transistor (TFT) including the gate electrode and the source/drain region; forming an under cut at the bottom of the TFT by partially removing the buried insulation layer; and transferring the TFT on a second substrate.
    Type: Grant
    Filed: April 26, 2010
    Date of Patent: June 12, 2012
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Jae Bon Koo, Seung Youl Kang, In-Kyu You
  • Patent number: 8196546
    Abstract: Methods and apparatus provide for: a first source of plasma, wherein the plasma includes a first species of ions; a second source of plasma, wherein the plasma includes a second species of ions; selection of the plasma from the first and second sources; and acceleration the first species of ions or the second species of ions toward a semiconductor wafer.
    Type: Grant
    Filed: November 19, 2010
    Date of Patent: June 12, 2012
    Assignee: Corning Incorporated
    Inventor: Sarko Cherekdjian
  • Publication number: 20120138886
    Abstract: Methods of forming microelectronic structures are described. Embodiments of those methods include forming a nanowire device comprising a substrate comprising source/drain structures adjacent to spacers, and nanowire channel structures disposed between the spacers, wherein the nanowire channel structures are vertically stacked above each other.
    Type: Application
    Filed: December 1, 2010
    Publication date: June 7, 2012
    Inventors: Kelin J. Kuhn, Seiyon Kim, Rafael Rios, Stephen M. Cea, Martin D. Giles, Annalisa Cappellani, Titash Rakshit, Peter Chang, Willy Rachmady
  • Patent number: 8193069
    Abstract: The invention relates to a method of producing a stacked structure. The inventive method comprises the following steps consisting in: a) using a first plate (1) which is, for example, made from silicon, and a second plate (5) which is also, for example, made from silicon, such that at least one of said first (1) and second (5) plates has, at least in part, a surface (2; 7) that cannot bond to the other plate; b) providing a surface layer (3; 8), which is, for example, made from silicon oxide, on at least one part of the surface (2) of the first plate and/or the surface (7) of the second plate (5); and c) bonding the two plates (1; 5) to one another. The aforementioned bonding incompatibility can, for example, result from the physicochemical nature of the surface or of a coating applied thereto, or from a roughness value (r?2, r?7) which is greater than a predetermined threshold. The invention also relates to a stacked structure produced using the inventive method.
    Type: Grant
    Filed: July 15, 2004
    Date of Patent: June 5, 2012
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Hubert Moriceau, Bernard Aspar, Jacques Margail
  • Patent number: 8183100
    Abstract: In sophisticated semiconductor devices, a strain-inducing semiconductor alloy may be positioned close to the channel region by forming cavities on the basis of a wet chemical etch process, which may have an anisotropic etch behavior with respect to different crystallographic orientations. In one embodiment, TMAH may be used which exhibits, in addition to the anisotropic etch behavior, a high etch selectivity with respect to silicon dioxide, thereby enabling extremely thin etch stop layers which additionally provide the possibility of further reducing the offset from the channel region while not unduly contributing to overall process variability.
    Type: Grant
    Filed: September 18, 2009
    Date of Patent: May 22, 2012
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Robert Mulfinger, Andy Wei, Jan Hoentschel, Casey Scott
  • Publication number: 20120122303
    Abstract: Disclosed is a method of forming a semiconductor device structure in a semiconductor layer. The method includes forming a first trench of a first width and a second trench of a second width in the semiconductor layer; depositing a layer of first material which conforms to a wall of the first trench but does not fill it and which fills the second trench; removing the first material from the first trench, the first material remaining in the second trench; depositing a second material into and filling the first trench and over a top of the first material in the second trench; and uniformly removing the second material from the top of the first material in the second trench, wherein the first trench is filled with the second material and the second trench is filled with the first material and wherein the first material is different from the second material.
    Type: Application
    Filed: November 11, 2010
    Publication date: May 17, 2012
    Applicant: International Business Machines Corporation
    Inventors: PAUL C. PARRIES, Yanli Zhang
  • Publication number: 20120104443
    Abstract: A silicon-on-insulator (SOI) substrate structure and method of fabrication including a single crystal silicon substrate, a layer of single crystal rare earth oxide formed on the substrate, a layer of engineered single crystal silicon formed on the layer of single crystal rare earth oxide, and a single crystal insulator layer of IIIOxNy formed on the engineered single crystal silicon layer. In some embodiments the III material in the insulator layer includes more than on III material. In a preferred embodiment the single crystal rare earth oxide includes Gd2O3 and the single crystal insulator layer of IIIOxNy includes one of AlOxNy and AlGaOxNy.
    Type: Application
    Filed: August 30, 2011
    Publication date: May 3, 2012
    Inventors: Andrew Clark, Michael Lebby, Erdem Arkun, Rytis Dargis
  • Patent number: 8168481
    Abstract: The method of one embodiment of the present invention includes: a first step of irradiating a bond substrate with ions to form an embrittlement region in the bond substrate; a second step of bonding the bond substrate to a base substrate with an insulating layer therebetween; a third step of splitting the bond substrate at the embrittlement region to form a semiconductor layer over the base substrate with the insulating layer therebetween; and a fourth step of subjecting the bond substrate split at the embrittlement region to a first heat treatment in an argon atmosphere and then a second heat treatment in an atmosphere of a mixture of oxygen and nitrogen to form a reprocessed bond substrate. The reprocessed bond substrate is used again as a bond substrate in the first step.
    Type: Grant
    Filed: April 19, 2010
    Date of Patent: May 1, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Kazuya Hanaoka, Hideki Tsuya, Masaharu Nagai
  • Publication number: 20120098068
    Abstract: A method and structure comprise a field effect transistor structure that includes a first rectangular fin structure and a second rectangular fin structure, both positioned on a substrate. The sides of the second rectangular fin structure are parallel to the sides of the first rectangular fin structure. Further, a trench insulator is positioned on the substrate and positioned between a side of the first rectangular fin structure and a side of the second rectangular fin structure. A gate conductor is positioned on the trench insulator, positioned over the sides and the top of the first rectangular fin structure, and positioned over the sides and the top of the second rectangular fin structure. The gate conductor runs perpendicular to the sides of the first rectangular fin structure and the sides of the second rectangular fin structure. Also, a gate insulator is positioned between the gate conductor and the first rectangular fin structure and between the gate conductor and the second rectangular fin structure.
    Type: Application
    Filed: October 22, 2010
    Publication date: April 26, 2012
    Applicant: International Business Machines Corporation
    Inventors: BRENT A. ANDERSON, Edward J. Nowak, Jed H. Rankin
  • Publication number: 20120100674
    Abstract: FinFET end-implanted-semiconductor structures and methods of manufacture are disclosed herein. The method includes forming at least one mandrel on a silicon layer of a substrate comprising an underlying insulator layer. The method further includes etching the silicon layer to form at least one silicon island under the at least one mandrel. The method further includes ion-implanting sidewalls of the at least one silicon island to form doped regions on the sidewalls. The method further includes forming a dielectric layer on the substrate, a top surface of which is planarized to be coplanar with a top surface of the at least one mandrel. The method further includes removing the at least one mandrel to form an opening in the dielectric layer. The method further includes etching the at least one silicon island to form at least one fin island having doped source and drain regions.
    Type: Application
    Filed: October 21, 2010
    Publication date: April 26, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Brent A. ANDERSON, Edward J. NOWAK
  • Patent number: 8148182
    Abstract: A manufacturing method of an electro line for a liquid crystal display device includes depositing a barrier layer made of a conducting material on a substrate, depositing a copper layer (Cu) on the barrier layer, wet-etching the Cu layer using a first etchant, and dry-etching the barrier layer using a second etchant using the wet-etched Cu layer as an etch mask.
    Type: Grant
    Filed: March 5, 2010
    Date of Patent: April 3, 2012
    Assignee: LG Display Co., Ltd.
    Inventors: Oh-Nam Kwon, Kyoung-Mook Lee, Heung-Lyul Cho, Seung-Hee Nam, Cyoo-Chul Jo
  • Patent number: 8142593
    Abstract: A method of transferring a thin film onto a first support, includes supplying a structure comprising a film of which at least one part originates from a solid substrate of a first material and which is solidly connected to a second support having a thermal expansion coefficient that is different from that of the first material and close to that of the first support, forming an embrittled area inside the film that defines the thin film to be transferred, affixing the film that is solidly connected to the second support to the first support, and breaking the film at the embrittled area.
    Type: Grant
    Filed: August 11, 2006
    Date of Patent: March 27, 2012
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Chrystel Deguet, Laurent Clavelier, Jerome Dechamp
  • Patent number: 8143134
    Abstract: The present invention provides a method for manufacturing an SOI substrate, to improve planarity of a surface of a single crystal semiconductor layer after separation by favorably separating a single crystal semiconductor substrate even in the case where a non-mass-separation type ion irradiation method is used, and to improve planarity of a surface of a single crystal semiconductor layer after separation as well as to improve throughput.
    Type: Grant
    Filed: September 28, 2009
    Date of Patent: March 27, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Takeshi Shichi, Junichi Koezuka, Hideto Ohnuma, Shunpei Yamazaki
  • Patent number: 8133749
    Abstract: In a semiconductor integrated circuit sandwiched between a pair of a first impact resistance layer and a second impact resistance layer, an impact diffusion layer is provided between the semiconductor integrated circuit and the second impact resistance layer. By provision of the impact resistance layer against the external stress and the impact diffusion layer for diffusing the impact, force applied to the semiconductor integrated circuit per unit area is reduced, so that the semiconductor integrated circuit is protected. The impact diffusion layer preferably has a low modulus of elasticity and high breaking modulus.
    Type: Grant
    Filed: April 24, 2009
    Date of Patent: March 13, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shingo Eguchi
  • Publication number: 20120058646
    Abstract: A semiconductor device in which a semiconductor layer is formed on an insulating substrate with a front-end insulating layer interposed between the semiconductor layer and the insulating substrate is provided which is capable of preventing action of an impurity contained in the insulating substrate on the semiconductor layer and of improving reliability of the semiconductor device. In a TFT (Thin Film Transistor), boron is made to be contained in a region located about 100 nm or less apart from a surface of the insulating substrate so that boron concentration decreases at an average rate being about 1/1000-fold per 1 nm from the surface of the insulating substrate toward the semiconductor layer.
    Type: Application
    Filed: November 8, 2011
    Publication date: March 8, 2012
    Applicants: NEC LCD TECHNOLOGIES, LTD., NEC CORPORATION
    Inventor: SHIGERU MORI
  • Publication number: 20120049281
    Abstract: According to one embodiment, gate electrodes of a multi-gate field effect transistors and methods of making a gate electrode of a multi-gate field effect transistor are provided. The gate electrode can contain a semiconductor substrate; a dielectric layer over the semiconductor substrate; a fin over the dielectric layer; a gate insulating layer over the side surfaces of the fin; a gate electrode layer over the fin; and a polysilicon layer over the fin. The gate electrode does not contain a gate insulating layer over the upper surface of the dielectric layer except portions of the upper surface of the dielectric layer that contact with the side surfaces of the gate insulating layer formed over the side surface of the fin. In another embodiment, the gate electrode can contain an oxygen diffusion barrier layer or a first oxygen diffusion layer over the upper surface of the dielectric layer.
    Type: Application
    Filed: August 27, 2010
    Publication date: March 1, 2012
    Applicant: TOSHIBA AMERICA ELECTRONIC COMPONENTS, INC.
    Inventors: Yoshinori Tsuchiya, Ryosuke Iijima, Atsushi Yagishita
  • Publication number: 20120043610
    Abstract: A method for fabricating FET devices is disclosed. The method includes forming continuous fins of a semiconductor material and fabricating gate structures overlaying the continuous fins. After the fabrication of the gate structures, the method uses epitaxial deposition to merge the continuous fins to one another. Next, the continuous fins are cut into segments. The fabricated FET devices are characterized as being non-planar devices. A placement of non-planar FET devices is also disclosed, which includes non- planar devices that have electrodes, and the electrodes contain fins and an epitaxial layer which merges the fins together. The non-planar devices are so placed that their gate structures are in a parallel configuration separated from one another by a first distance, and the fins of differing non-planar devices line up in essentially straight lines.
    Type: Application
    Filed: August 17, 2010
    Publication date: February 23, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Bruce B. Doris, Ali Khakifirooz, Pranita Kulkarni
  • Patent number: 8119464
    Abstract: Semiconductor devices with high-K/metal gates are formed with spacers that are substantially resistant to subsequent etching to remove an overlying spacer, thereby avoiding replacement and increasing manufacturing throughput. Embodiments include forming a high-K/metal gate, having an upper surface and side surfaces, over a substrate, e.g., a SOI substrate, and sequentially forming, on the side surfaces of the high-K/metal gate, a first spacer of a non-oxide material, a second spacer, of a material different from that of the first spacer, and a third spacer, of a material different from that of the second spacer. After formation of source and drain regions, e.g., epitaxially grown silicon-germanium, the third spacer is etched with an etchant, such as hot phosphoric acid, to which the second spacer is substantially resistant, thereby avoiding replacement.
    Type: Grant
    Filed: September 17, 2009
    Date of Patent: February 21, 2012
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Rohit Pal, Stephan Waidmann
  • Patent number: 8114723
    Abstract: A structure, memory devices using the structure, and methods of fabricating the structure. The structure includes: an array of nano-fins, each nano-fin comprising an elongated block of semiconductor material extending axially along a first direction, the nano-fins arranged in groups of at least two nano-fins each, wherein ends of nano-fins of each adjacent group of nano-fins are staggered with respect to each other on both a first and a second side of the array; wherein nano-fins of each group of nano-fins are electrically connected to a common contact that is specific to each group of nano-fins such that the common contacts comprise a first common contact on the first side of the array and a second common contact on the second side of the array; and wherein each group of nano-fins has at least two gates that electrically control the conductance of nano-fins of the each group of nano-fins.
    Type: Grant
    Filed: June 7, 2010
    Date of Patent: February 14, 2012
    Assignee: International Business Machines Corporation
    Inventors: Kailash Gopalakrishnan, Rohit Sudhir Shenoy
  • Patent number: 8114722
    Abstract: To suppress generation of dangling bonds, the present invention relates to a method for manufacturing a semiconductor device including the steps of: forming a semiconductor film; forming a gate insulating film and a gate electrode over the semiconductor film; forming an impurity region in the semiconductor film by addition of an impurity element having one conductivity type thereto; forming an insulating film containing fluorine with the semiconductor film, the gate insulating film, and the gate electrode covered therewith; heating the semiconductor film and the insulating film containing fluorine; and forming a wiring, which is electrically connected to the impurity region, over the insulating film containing fluorine. The insulating film containing fluorine is any one of a silicon oxide film containing fluorine, a silicon oxide film containing fluorine and nitrogen, or a silicon nitride film containing fluorine.
    Type: Grant
    Filed: August 12, 2008
    Date of Patent: February 14, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Tetsuya Kakehata
  • Patent number: 8115254
    Abstract: A stack pad layers including a first pad oxide layer, a pad nitride layer, and a second pad oxide layer are formed on a semiconductor-on-insulator (SOI) substrate. A deep trench extending below a top surface or a bottom surface of a buried insulator layer of the SOI substrate and enclosing at least one top semiconductor region is formed by lithographic methods and etching. A stress-generating insulator material is deposited in the deep trench and recessed below a top surface of the SOI substrate to form a stress-generating buried insulator plug in the deep trench. A silicon oxide material is deposited in the deep trench, planarized, and recessed. The stack of pad layer is removed to expose substantially coplanar top surfaces of the top semiconductor layer and of silicon oxide plugs. The stress-generating buried insulator plug encloses, and generates a stress to, the at least one top semiconductor region.
    Type: Grant
    Filed: September 25, 2007
    Date of Patent: February 14, 2012
    Assignee: International Business Machines Corporation
    Inventors: Huilong Zhu, Brian J. Greene, Dureseti Chidambarrao, Gregory G. Freeman
  • Patent number: 8110486
    Abstract: A semiconductor wafer is produced at a step of forming a lattice relaxation or a partly lattice-relaxed strain relaxation SiGe layer on an insulating layer in a SOI wafer comprising an insulating layer and a SOI layer, wherein at least an upper layer side portion of the SiGe layer is formed on the SOI layer at a gradient of Ge concentration gradually decreasing toward the surface and then subjected to a heat treatment in an oxidizing atmosphere.
    Type: Grant
    Filed: January 5, 2007
    Date of Patent: February 7, 2012
    Assignee: Sumco Corporation
    Inventors: Koji Matsumoto, Tomoyuki Hora, Akihiko Endo, Etsurou Morita, Masaharu Ninomiya
  • Patent number: 8105887
    Abstract: A first aspect of the invention provides a method of forming a semiconductor device, the method comprising: providing a complimentary metal oxide semiconductor (CMOS) device including: a silicon substrate layer; a silicon dioxide layer thereover; and an n-type field effect transistor (NFET) gate having a first recessed source/drain trench and a p-type field effect transistor (PFET) gate having a second recessed source/drain trench, the NFET gate and the PFET gate located over the silicon dioxide layer; depositing a nitride stress liner in the first recessed source/drain trench and the second recessed source/drain trench; depositing an oxide layer over the nitride stress liner; placing the CMOS device on a handling wafer, wherein the oxide layer is closest to the handling wafer; removing the silicon substrate layer; etching the silicon dioxide layer to form an opening abutting a portion of a source/drain region, the source/drain region abutting one of the first recessed source/drain trench or the second recess
    Type: Grant
    Filed: July 9, 2009
    Date of Patent: January 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Zhijiong Luo, QingQing Liang, Haizhou Yin, Huilong Zhu
  • Patent number: 8101998
    Abstract: The present invention provides a MOSFET capable of improving the basic performance of a transistor such as saturation current characteristics, input follow-up and an offleak current at high levels, and a manufacturing method thereof.
    Type: Grant
    Filed: March 30, 2009
    Date of Patent: January 24, 2012
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Kumar Anil
  • Patent number: 8101444
    Abstract: Electric characteristics of a thin film transistor including a channel formation region including a microcrystalline semiconductor are improved. The thin film transistor includes a gate electrode, a gate insulating film formed over the gate electrode, a microcrystalline semiconductor layer formed over the gate insulating film, a semiconductor layer which is formed over the microcrystalline semiconductor layer and includes an amorphous semiconductor, and a source region and a drain region which are formed over the semiconductor layer. A channel is formed in the microcrystalline semiconductor layer when the thin film transistor is placed in an on state, and the microcrystalline semiconductor layer includes an impurity element for functioning as an acceptor. The microcrystalline semiconductor layer is formed by a plasma-enhanced chemical vapor deposition method.
    Type: Grant
    Filed: August 6, 2008
    Date of Patent: January 24, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Makoto Furuno
  • Patent number: 8093136
    Abstract: A single crystal semiconductor substrate and a base substrate are prepared; a first insulating film is formed over the single crystal semiconductor substrate; a separation layer is formed by introducing ions at a predetermined depth through a surface of the single crystal semiconductor substrate; plasma treatment is performed on the base substrate so as to planarize a surface of the base substrate; a second insulating film is formed over the planarized base substrate; a surface of the first insulating film is bonded to a surface of the second insulating film by making the surface of the single crystal semiconductor substrate and the surface of the base substrate face each other; and a single crystal semiconductor film is provided over the base substrate with the second insulating film and the first insulating film interposed therebetween by performing separation at the separation layer.
    Type: Grant
    Filed: December 22, 2008
    Date of Patent: January 10, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Yuta Endo, Shunpei Yamazaki
  • Patent number: 8093115
    Abstract: A method of manufacturing a semiconductor device, the method comprising: taking an SOI substrate comprising a bulk substrate, a buried insulating layer and an active layer, and implanting the bulk substrate from the side of and through the insulating layer and the active layer so as to generate an area having an increased doping concentration in the bulk substrate at the interface between the bulk substrate and the insulating layer.
    Type: Grant
    Filed: September 21, 2010
    Date of Patent: January 10, 2012
    Assignee: Texas Instruments Deutschland GmbH
    Inventors: Wolfgang Schwartz, Alfred Haeusler, Vladimir Frank Drobny
  • Patent number: 8076187
    Abstract: A method of fabricating a polycrystalline silicon thin film for a thin film transistor (TFT), a mask pattern used for the method, and a method of fabricating a flat panel display device using the method and the mask pattern. In one embodiment, a mask pattern includes a plurality of regions, each of the regions having at least one of one or more transparent portions or one or more non-transparent portions. A total area of the one or more transparent portions and the one or more non-transparent portions in one of the regions is substantially equal to a total area of the one or more transparent portions and the one or more non-transparent portions in at least one other of the regions. A total area of the transparent portions in the mask pattern is different from a total area of the non-transparent portions in the mask pattern.
    Type: Grant
    Filed: January 25, 2011
    Date of Patent: December 13, 2011
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventor: Hye-Hyang Park
  • Publication number: 20110278539
    Abstract: A method of modifying a wafer having a semiconductor disposed on an insulator is provided and includes forming first and second nanowire channels connected at each end to semiconductor pads at first and second wafer regions, respectively, with second nanowire channel sidewalls being misaligned relative to a crystallographic plane of the semiconductor more than first nanowire channel sidewalls and displacing the semiconductor toward an alignment condition between the sidewalls and the crystallographic plane such that thickness differences between the first and second nanowire channels reflect the greater misalignment of the second nanowire channel sidewalls.
    Type: Application
    Filed: May 12, 2010
    Publication date: November 17, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Sarunya Bangsaruntip, Guy M. Cohen, Jeffrey W. Sleight
  • Patent number: 8058148
    Abstract: Methods and apparatus provide for forming a semiconductor-on-insulator (SOI) structure, including subjecting a implantation surface of a donor semiconductor wafer to an ion implantation step to create a weakened slice in cross-section defining an exfoliation layer of the donor semiconductor wafer; and subjecting the donor semiconductor wafer to a spatial variation step, either before, during or after the ion implantation step, such that at least one parameter of the weakened slice varies spatially across the weakened slice in at least one of X- and Y-axial directions.
    Type: Grant
    Filed: May 13, 2010
    Date of Patent: November 15, 2011
    Assignee: Corning Incorporated
    Inventors: Sarko Cherekdjian, Jeffrey Scott Cites, James Gregory Couillard, Richard Orr Maschmeyer, Michael John Moore, Alex Usenko
  • Publication number: 20110272756
    Abstract: An electronic system, method of manufacture of a semiconductor structure, and one or more semiconductor structures are disclosed. For example, a method of manufacture of a semiconductor structure is disclosed, which includes forming a first semiconductor substructure over a semiconductor substrate, forming a first spacer layer over the first semiconductor substructure and the semiconductor substrate, and forming a second semiconductor substructure over at least a portion of the first spacer layer.
    Type: Application
    Filed: November 9, 2010
    Publication date: November 10, 2011
    Applicant: INTERSIL AMERICAS INC.
    Inventor: Michael D. Church
  • Patent number: 8053303
    Abstract: A semiconductor structure is disclosed. The semiconductor structure includes an active semiconductor layer, a semiconductor device having a gate disposed on top of the active semiconductor layer, and source and drain regions and a body/channel region disposed within the active semiconductor layer, an insulator layer having a first and second side, the first side being adjacent to the active semiconductor layer, a substrate disposed adjacent to the second side of the insulator layer, a body contact disposed under the body/channel region and in the insulator layer. The body contact electrically connects with and contacts with the body/channel region of the semiconductor device and the substrate, to thereby form an ohmic contact and to eliminate floating body effects.
    Type: Grant
    Filed: March 30, 2011
    Date of Patent: November 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: John E. Barth, Jr., Kerry Bernstein, Francis R. White
  • Patent number: 8053332
    Abstract: To provide a method for manufacturing a semiconductor substrate provided with a single crystal semiconductor layer which can be used practically even when a substrate with a low upper temperature limit, such as a glass substrate, is used.
    Type: Grant
    Filed: January 8, 2010
    Date of Patent: November 8, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Kosei Noda
  • Patent number: 8053295
    Abstract: A liquid crystal display device includes a plurality of gate lines and data lines crossing each other to define a plurality of pixel regions, a plurality of thin film transistors, each disposed in one of the pixel regions, and a plurality of pixel electrodes, each disposed in one of the pixel regions, wherein the thin film transistor includes at least one Ti layer.
    Type: Grant
    Filed: October 25, 2010
    Date of Patent: November 8, 2011
    Assignee: LG Display Co., Ltd.
    Inventors: Gee-Sung Chae, Yong-Sup Hwang
  • Patent number: 8048729
    Abstract: A highly responsive semiconductor device in which the subthreshold swing (S value) is small and reduction in on-current is suppressed is manufactured. A semiconductor layer in which a thickness of a source region or a drain region is larger than that of a channel formation region is formed. A semiconductor layer having a concave-convex shape which is included in the semiconductor device is formed by the steps of forming a first semiconductor layer over a substrate; forming a first insulating layer and a conductive layer over the first semiconductor layer; forming a second insulating layer over a side surface of the conductive layer; forming a second semiconductor layer over the first insulating layer, the conductive layer and the second insulating layer; etching the second semiconductor layer using a resist formed partially as a mask; and performing heat treatment to the first semiconductor layer and the second semiconductor layer.
    Type: Grant
    Filed: July 16, 2010
    Date of Patent: November 1, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Hideto Ohnuma