Insulated Gate Capacitor Or Insulated Gate Transistor Combined With Capacitor (e.g., Dynamic Memory Cell) Patents (Class 257/296)
  • Patent number: 9613967
    Abstract: A method of fabricating a memory device includes providing a substrate having a first region and a second region. A first dielectric layer is formed on the substrate in the first region. A conductive layer is formed on the substrate in the second region. A top surface of the conductive layer is lower than a top surface of the first dielectric layer. A second dielectric layer is formed on the substrate. A portion of the second dielectric layer and a portion of the conductive layer are removed to form a first opening in the conductive layer and the second dielectric layer in the second region. The first opening exposes a surface of the substrate. A portion of the substrate in the second region is removed to form a trench in the substrate in the second region. A third dielectric layer is formed in the trench and the first opening.
    Type: Grant
    Filed: March 29, 2016
    Date of Patent: April 4, 2017
    Assignee: Winbond Electronics Corp.
    Inventors: Yi-Hao Chien, Yoshinori Tanaka, Wei-Che Chang
  • Patent number: 9614516
    Abstract: A multi-path transistor includes an active region including a channel region and an impurity region. A gate is dielectrically separated from the channel region. A signal line is dielectrically separated from the impurity region. A conductive shield is disposed between, and dielectrically separated from, the signal line and the channel region. In some multi-path transistors, the channel region includes an extension-channel region under the conductive shield and the multi-path transistor includes different conduction paths, at least one of the different conduction paths being in the extension-channel region to conduct substantially independent of a voltage on the signal line. In other multi-path transistors, the conductive shield is operably coupled to the impurity region and the multi-path transistor includes different conduction paths, at least one of the different conduction paths being under the conductive shield to conduct substantially independent of a voltage on the signal line.
    Type: Grant
    Filed: September 30, 2014
    Date of Patent: April 4, 2017
    Assignee: Micron Technology, Inc.
    Inventor: Toru Tanzawa
  • Patent number: 9614087
    Abstract: A method for manufacturing a semiconductor device includes forming a first semiconductor layer on a substrate, forming a bottom source/drain region on the first semiconductor layer, forming a second semiconductor layer on the bottom source/drain region, patterning the second semiconductor layer into a plurality of fins extending from the bottom source/drain region vertically with respect to the substrate, forming a gate structure around the plurality of fins, forming a top source/drain region on each of the plurality of fins, oxidizing the first semiconductor layer to form an oxide layer in place of the first semiconductor layer, wherein a volume of the oxide layer is larger than a volume of the first semiconductor layer prior to the oxidation, and producing a strain in each of the plurality of fins due to the larger volume of the oxide layer.
    Type: Grant
    Filed: May 17, 2016
    Date of Patent: April 4, 2017
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Juntao Li, Peng Xu
  • Patent number: 9613862
    Abstract: Chamferless via structures and methods of manufacture are provided. The method includes: forming at least one self-aligned via within at least dielectric material; plugging the at least one self-aligned via with material; forming a protective sacrificial mask over the material which plugs the at least one self-aligned via, after a recessing process; forming at least one trench within the dielectric material, with the protective sacrificial mask protecting the material during the trench formation; removing the protective sacrificial mask and the material within the at least one self-aligned via to form a wiring via; and filling the wiring via and the at least one trench with conductive material.
    Type: Grant
    Filed: September 2, 2015
    Date of Patent: April 4, 2017
    Assignees: INTERNATIONAL BUSINESS MACHINES CORPORATION, GLOBALFOUNDRIES INC.
    Inventors: Mark L. Lenhardt, Frank W. Mont, Brown C. Peethala, Shariq Siddiqui, Jessica P. Striss, Douglas M. Trickett
  • Patent number: 9607766
    Abstract: A laminated ceramic capacitor is characterized in that when the section constituted by two internal electrode layers positioned adjacent to each other in the laminating direction and one dielectric layer present between the two internal electrode layers is considered a unit capacitor, then the respective capacitances of the unit capacitors arranged in the laminating direction are distributed in such a way the capacitance at the center in the laminating direction is lower than the capacitances at both ends in the laminating direction. The laminated ceramic capacitor is resistant to deterioration of insulation resistance.
    Type: Grant
    Filed: October 24, 2012
    Date of Patent: March 28, 2017
    Assignee: TAIYO YUDEN CO., LTD.
    Inventor: Kenji Saito
  • Patent number: 9607950
    Abstract: There is provided a package substrate including: a body unit including a plurality of base substrates and having a mounting region allowing at least one semiconductor device to be mounted thereon; and a plurality of magnetic field shielding units including a ferromagnetic material and provided within the body unit, wherein the plurality of magnetic field shielding units may be respectively disposed on the plurality of different base substrates such that a magnetic field shielding region defined by the plurality of magnetic field shielding units corresponds to the mounting region.
    Type: Grant
    Filed: August 8, 2014
    Date of Patent: March 28, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Byung Woo Lee
  • Patent number: 9609749
    Abstract: A printed circuit board includes a laminated core including at least an internal conductive layer, and a build-up layer on the laminated core. The build-up layer includes a top conductive layer. A plurality of microvias is disposed in the build-up layer to electrically connect the top conductive layer with the internal conductive layer. A power/ground ball pad array is disposed in the top conductive layer. The power/ground ball pad array includes power ball pads and ground ball pads arranged in an array with a fixed ball pad pitch P. The power/ground ball pad array includes a 4-ball pad unit area that is comprised of only one ground ball pad and three power ball pads, or comprised of only one power ball pad and three ground ball pads. The 4-ball pad unit area has a rectangular shape and a dimension of about 2P×2P.
    Type: Grant
    Filed: September 22, 2015
    Date of Patent: March 28, 2017
    Assignee: MEDIATEK INC.
    Inventors: Sheng-Ming Chang, Chia-Hui Liu, Shih-Chieh Lin, Chun-Ping Chen
  • Patent number: 9595593
    Abstract: A semiconductor structure and a method for forming the same are provided. The semiconductor structure includes a substrate and an interfacial layer formed over the substrate. The semiconductor structure further includes a gate structure formed over the interfacial layer. In addition, the interfacial layer is made of metal germanium oxide, metal silicon oxide, or metal germanium silicon oxide and is in direct contact with a top surface of the substrate.
    Type: Grant
    Filed: June 29, 2015
    Date of Patent: March 14, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wei-Fan Lee, Chee-Wee Liu, Chin-Kun Wang, Yuh-Ta Fan, Chih-Hsiung Huang, Tzu-Yao Lin
  • Patent number: 9595667
    Abstract: Three dimension memory arrays and methods of forming the same are provided. An example three dimension memory array can include a stack comprising a plurality of first conductive lines separated from one another by at least an insulation material, and at least one conductive extension arranged to extend substantially perpendicular to the plurality of first conductive lines, such that the at least one conductive extension intersects a portion of at least one of the plurality of first conductive lines. Storage element material is formed around the at least one conductive extension. Cell select material is formed around the at least one conductive extension.
    Type: Grant
    Filed: February 1, 2016
    Date of Patent: March 14, 2017
    Assignee: Micron Technology, Inc.
    Inventor: Federico Pio
  • Patent number: 9595583
    Abstract: A method for forming FinFETs having a capping layer for reducing punch through leakage includes providing an intermediate semiconductor structure having a semiconductor substrate and a fin disposed on the semiconductor substrate. A capping layer is disposed over the fin, and an isolation fill is disposed over the capping layer. A portion of the isolation fill and the capping layer is removed to expose an upper surface portion of the fin. Tapping layer and a lower portion of the fin define an interface dipole layer barrier, a portion of the capping layer operable to provide an increased negative charge or an increased positive charge adjacent to the fin, to reduce punch-through leakage compared to a fin without the capping layer.
    Type: Grant
    Filed: March 3, 2016
    Date of Patent: March 14, 2017
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Hoon Kim, Min Gyu Sung
  • Patent number: 9590063
    Abstract: A method of forming a semiconductor device (100) includes depositing a metal oxide (104) over the substrate (102). The depositing includes combining a first metal and oxygen to form the metal oxide having grains and further adding a catalyst during the combining. The catalyst causes the grains to be bigger than would occur in the absence of the catalyst. A conductive layer (202) is formed over the metal oxide.
    Type: Grant
    Filed: December 19, 2014
    Date of Patent: March 7, 2017
    Assignee: NXP USA, INC.
    Inventor: Rama I. Hegde
  • Patent number: 9590034
    Abstract: A method of forming fine patterns for a semiconductor device includes providing a substrate with a first region and a second region, forming a conductive layer on the substrate, the conductive layer including a plate portion covering the first region and first protruding portions extending from the plate portion in a first direction and covering a portion of the second region, forming first mask patterns on the conductive layer, the first mask patterns extending in the first direction and being spaced apart from each other in a second direction crossing the first direction, forming a second mask pattern on the second region to cover the first protruding portions, and patterning the conductive layer using the first and second mask patterns as an etch mask to form conductive patterns. In plan view, each of the first protruding portions is overlapped with a corresponding one of the first mask patterns.
    Type: Grant
    Filed: April 9, 2015
    Date of Patent: March 7, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seok-ho Shin, Chul Lee
  • Patent number: 9589983
    Abstract: An integrated circuit has a buried interconnect in the buried oxide layer connecting a body of a MOS transistor to a through-substrate via (TSV). The buried interconnect extends laterally past the TSV. The integrated circuit is formed by starting with a substrate, forming the buried oxide layer with the buried interconnect at a top surface of the substrate, and forming a semiconductor device layer over the buried oxide layer. The MOS transistor is formed in the semiconductor device layer so that the body makes an electrical connection to the buried interconnect. Subsequently, the TSV is formed through a bottom surface of the substrate so as to make an electrical connection to the buried interconnect in the buried oxide layer. A body of a transistor is electrically coupled to the TSV through the buried interconnect.
    Type: Grant
    Filed: June 3, 2016
    Date of Patent: March 7, 2017
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Russell Carlton McMullan
  • Patent number: 9589995
    Abstract: Disclosed are a method for manufacturing a TFT substrate having storage capacitors and the TFT substrate. The method includes: (1) forming a gate terminal and a first metal electrode; (2) forming a gate insulation layer and a gate insulation layer through-hole; (3) forming an oxide semiconductor layer; (4) subjecting a portion of the oxide semiconductor layer to N-type heavy doping to form a first conductor electrode thereby constituting a first storage capacitor; (5) forming an etch stop layer and a first etch stop layer through-hole; (6) forming source/drain terminals and a second metal electrode, thereby constituting a second storage capacitor connected in parallel to the first capacitor; (7) forming a protection layer, a protection layer through-hole, and a second etch stop layer through-hole; and (8) forming a pixel electrode and a second conductor electrode, thereby constituting a third storage capacitor connected in parallel to the second capacitor.
    Type: Grant
    Filed: August 15, 2014
    Date of Patent: March 7, 2017
    Assignee: Shenzhen China Star Optoelectronics Technology Co., Ltd
    Inventors: Longqiang Shi, Chihyuan Tseng, Wenhui Li, Yutong Hu, Hejing Zhang, Xiaowen Lv, Chihyu Su
  • Patent number: 9589504
    Abstract: An OLED AC driving circuit, a driving method and a display device are disclosed in the present disclosure. The OLED AC driving circuit includes a light-emitting control unit, a charging unit, a driving unit, a first storage unit, a second storage unit, a first light-emitting unit, a second light-emitting unit, a first voltage control unit and a second voltage control unit. The present disclosure employs the first light-emitting unit and the second light-emitting unit which are connected reversely with each other to make the first light-emitting unit and the second light-emitting unit emit light alternately during two adjacent frames. In one frame, only one light-emitting unit emits light for display while the other one is reversely biased. When the next frame comes, the two units exchange their operating states. The AC driving of the light-emitting units is realized, thus improving the energy utilization efficiency.
    Type: Grant
    Filed: November 1, 2013
    Date of Patent: March 7, 2017
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Haigang Qing, Xiaojing Qi
  • Patent number: 9583441
    Abstract: A conductor provided in an interconnection layer is allowed to have a low resistance. An insulator film is provided over a substrate, and is comprised of SiO(1-x)Nx (where x>0.5 in an XRD analysis result). An interconnection is provided over the insulator film, and includes a first layer and a second layer. The first layer includes at least one of TiN, TaN, WN, and RuN. The second layer is provided over the first layer, and is formed of a material having a resistance lower than the first layer, for example, W.
    Type: Grant
    Filed: July 24, 2015
    Date of Patent: February 28, 2017
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventors: Takashi Ogura, Tatsuya Usami, Satoshi Kodama, Shuuichirou Ueno, Satoshi Itou, Takamasa Itou
  • Patent number: 9583559
    Abstract: In one embodiment a method of forming a compressive polycrystalline semiconductive material layer is disclosed. The method comprises forming a polycrystalline semiconductive seed layer over a substrate and forming a silicon layer by depositing silicon directly on the polycrystalline silicon seed layer under amorphous process conditions at a temperature below 600 C.
    Type: Grant
    Filed: March 23, 2015
    Date of Patent: February 28, 2017
    Assignee: Infineon Technologies AG
    Inventors: Wolfgang Lehnert, Stefan Pompl, Markus Meyer
  • Patent number: 9583618
    Abstract: A metal-oxide-semiconductor field effect transistor (MOSFET) includes a substrate and a gate structure over a top surface of the substrate. The MOSFET further includes a source in the substrate on a first side of the gate structure and a drain in the substrate on a second side of the gate structure opposite the first side. A surface portion of the substrate extending from the source to the drain has an asymmetric dopant concentration profile.
    Type: Grant
    Filed: June 27, 2013
    Date of Patent: February 28, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chih-Chang Cheng, Fu-Yu Chu, Ruey-Hsin Liu
  • Patent number: 9583496
    Abstract: A high capacitance embedded capacitor and associated fabrication processes are disclosed for fabricating a capacitor stack in a multi-layer stack to include a first capacitor plate conductor formed with a cylinder-shaped storage node electrode formed in the multi-layer stack, a capacitor dielectric layer surrounding the cylinder-shaped storage node electrode, and a second capacitor plate conductor formed from a conductive layer in the multi-layer stack that is sandwiched between a bottom and top dielectric layer, where the cylinder-shaped storage node electrode is surrounded by and extends through the conductive layer.
    Type: Grant
    Filed: January 25, 2016
    Date of Patent: February 28, 2017
    Assignee: Conversant Intellectual Property Management Inc.
    Inventor: Hyoung Seub Rhie
  • Patent number: 9576980
    Abstract: FinFET devices are formed on the same semiconductor structure wherein at least one finFET device has a gate dielectric structure that is different in thickness relative to a gate dielectric structure of at least one other finFET device. The finFET devices are formed as part of the same fabrication process.
    Type: Grant
    Filed: August 20, 2015
    Date of Patent: February 21, 2017
    Assignee: International Business Machines Corporation
    Inventors: Veeraraghavan S. Basker, Kangguo Cheng, Theodorus E. Standaert, Junli Wang
  • Patent number: 9576544
    Abstract: A gate driver includes a line part to receive control signals and a shift register to sequentially output gate signals in response to the control signals provided from the line part. The line part and the shift register are disposed apart from each other with a first distance equal to or greater than about 20 ?m.
    Type: Grant
    Filed: August 30, 2013
    Date of Patent: February 21, 2017
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventor: Jung Mok Park
  • Patent number: 9576963
    Abstract: A manufacturing method of a vertical channel transistor array is provided. The method includes following steps. A plurality of embedded word lines are formed at bottoms of trenches, and each of the embedded word lines is located at a first side wall of one of the trenches and connected to first sides of the semiconductor pillars in the same row. Each of the embedded word lines is not connected to second sides of the semiconductor pillars in the same row, and the first sides are opposite to the second sides. Only one embedded word line is correspondingly connected to the semiconductor pillars arranged in one row. An isolation structure is formed between a second side wall of each of the trenches and each of the embedded word lines. The first side wall is opposite to the second side wall.
    Type: Grant
    Filed: May 6, 2014
    Date of Patent: February 21, 2017
    Assignee: Powerchip Technology Corporation
    Inventor: Yukihiro Nagai
  • Patent number: 9570483
    Abstract: A flat panel display device with an oxide thin film transistor is disclosed which includes: an oxide semiconductor layer which has a width of a first length and is formed on a buffer film; a gate insulation film which has a width of a second length and is formed on the oxide semiconductor layer; a gate electrode which has a width of a third length and is formed on the gate insulation film; an interlayer insulation film formed on the entire surface of the substrate provided with the gate electrode; source and drain electrodes formed on the interlayer insulation film and connected to the oxide semiconductor layer; a pixel electrode formed on a passivation film and connected to the drain electrode. The first length is larger than the second length and the second length is larger than the third length.
    Type: Grant
    Filed: March 23, 2016
    Date of Patent: February 14, 2017
    Assignee: LG Display Co., Ltd.
    Inventors: Ki Young Jung, Ki Tae Kim, Chang Hoon Han
  • Patent number: 9570663
    Abstract: An electro-optical device formed on a semiconductor substrate, includes: a first transistor controlling a current level according to a voltage between a gate and a source; a second transistor electrically connected between a data line and the gate of the first transistor; a third transistor electrically connected between the gate and a drain of the first transistor; and a light-emitting element emitting light at a luminance according to the current level, in which one of a source and a drain of the second transistor and one of a source and a drain of the third transistor are formed by a common diffusion layer.
    Type: Grant
    Filed: November 10, 2015
    Date of Patent: February 14, 2017
    Assignee: SEIKO EPSON CORPORATION
    Inventors: Toshiyuki Kasai, Takeshi Nomura
  • Patent number: 9570388
    Abstract: Embodiments herein describe dummy gates disposed over a portion of a fin in finFETs. That is, instead of separating the dummy gates from the finFET structure, the fins may be extended and covered, at least partially, by the dummy gates. An insulative material is disposed between the dummy gate and the fin in order to form a decoupling capacitor. In one embodiment, the dummy gate overlaps a portion of the fin that is held at a voltage rail. Moreover, the dummy gate may be coupled to a different (e.g., opposite) voltage rail than rail coupled to the fin. For example, if the fin is coupled to VHIGH then the dummy gate is coupled to VLOW, or vice versa. Thus, the capacitor formed using the fin and the dummy gate provides a decoupling capacitance between the power sources generating the voltage rails (i.e., VHIGH and VLOW).
    Type: Grant
    Filed: June 26, 2015
    Date of Patent: February 14, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Todd A. Christensen, John E. Sheets, II
  • Patent number: 9570141
    Abstract: To provide a memory device which can perform verification operation for detecting a memory cell whose data holding time is shorter than a predetermined length, accurately in a short time. Each memory cell includes at least a first capacitor, a second capacitor, and a transistor which functions as a switching element for controlling supply, storage, and release of charge in the first capacitor and the second capacitor. The capacitance of the first capacitor is thousand or more times the capacitance of the second capacitor, preferably ten thousand or more times the capacitance of the second capacitor. In normal operation, charge is stored using the first capacitor and the second capacitor. In performing verification operation for detecting a memory cell whose data holding time is shorter than a predetermined length, charge is stored using the second capacitor.
    Type: Grant
    Filed: March 26, 2014
    Date of Patent: February 14, 2017
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Toshihiko Saito
  • Patent number: 9570605
    Abstract: A device is disclosed. The device includes a semiconductor substrate, a plurality of source lines formed on a surface of the semiconductor substrate. The plurality of source lines are laid in both X and Y directions. The device further includes a plurality of gate lines laid out over source lines in X direction in the plurality of source lines, a source contact line that connects source lines in the plurality of source lines that are terminating in Y direction, a gate contact line that connects the plurality of gate lines and a drain contact.
    Type: Grant
    Filed: May 9, 2016
    Date of Patent: February 14, 2017
    Assignee: NXP B.V.
    Inventor: Steven Thomas Peake
  • Patent number: 9564484
    Abstract: Embodiments of the present invention provide improved metal-insulator-metal (MIM) capacitors. In embodiments, series resistance is reduced by forming a via underneath the bottom plate of a MIM capacitor, leading to a metallization layer or intermediate metal sublayer. In embodiments, the MIM capacitor is formed with a corrugated shape to increase the plate surface area, allowing a thicker dielectric to be used, thereby mitigating leakage issues.
    Type: Grant
    Filed: December 29, 2015
    Date of Patent: February 7, 2017
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Hui Zang, Bingwu Liu
  • Patent number: 9559299
    Abstract: A solid state memory comprises a top electrode, a bottom electrode and an insulating switching medium that is disposed at a thickness based on a predetermined function. The insulating switching medium generates a conduction path in response to an electric signal applied to the device. The thickness of the insulating switching medium is a function of a filament width of the conduction path and operates to prevent rupture of a semi-stable region. The semi-stable region maintains filament structure over time and does not degrade into retention failure. The solid state memory can comprise one or more conducting layers that can operate to control the conductance at an on-state of the memory and offer oxygen vacancies or metal ions to the switching medium. The function of the thickness of the insulating switching medium can vary depending upon the number of conduction layers disposed at the insulating switching medium.
    Type: Grant
    Filed: August 28, 2015
    Date of Patent: January 31, 2017
    Assignee: Crossbar, Inc.
    Inventor: Sung Hyun Jo
  • Patent number: 9552924
    Abstract: High precision capacitors and methods for forming the same utilizing a precise and highly conformal deposition process for depositing an insulating layer on substrates of various roughness and composition. The method generally comprises the steps of depositing a first insulating layer on a metal substrate by atomic layer deposition (ALD); (b) forming a first capacitor electrode on the first insulating layer; and (c) forming a second insulating layer on the first insulating layer and on or adjacent to the first capacitor electrode. Embodiments provide an improved deposition process that produces a highly conformal insulating layer on a wide range of substrates, and thereby, an improved capacitor.
    Type: Grant
    Filed: February 3, 2015
    Date of Patent: January 24, 2017
    Assignee: Thin Film Electronics ASA
    Inventors: Arvind Kamath, Criswell Choi, Patrick Smith, Erik Scher, Jiang Li
  • Patent number: 9553132
    Abstract: According to the embodiment, a semiconductor memory device includes a first conductive layer, a second conductive layer, a first memory cell, a second memory cell, a third conductive layer, a first contact, a intermediate memory cell, a fourth conductive layer, a third memory cell, a fifth conductive layer, and a second contact. The third conductive layer is separated from the first conductive layer and the second conductive layer in a third direction crossing a first direction and crossing a second direction and extends in the second direction. The fifth conductive layer is separated from the second conductive layer in the third direction and extends in the second direction. A first length of the second conductive layer along the second direction is shorter than a second length of the fifth conductive layer along the second direction.
    Type: Grant
    Filed: March 14, 2016
    Date of Patent: January 24, 2017
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yusuke Kobayashi, Yoshihisa Iwata, Takeshi Sugimoto
  • Patent number: 9548085
    Abstract: According to one embodiment, a semiconductor memory device includes a semiconductor substrate, a memory cell array disposed on the semiconductor substrate, a capacitor and a control circuit. The memory cell array includes a plurality of memory cells. The control circuit supplies a voltage to the memory cell array. The memory cell array includes a first conductive body disposed in a first region on the semiconductor substrate. The first conductive body extends in a first direction intersecting with a surface of the substrate. The capacitor includes first and second electrodes disposed in a second region different from the first region on the semiconductor substrate. The electrodes each include a second conductive body extending in the first direction. The first conductive body and the second conductive body include an identical material.
    Type: Grant
    Filed: November 16, 2015
    Date of Patent: January 17, 2017
    Assignee: KABUSHIKI KAISHA TOSHIBA
    Inventor: Masumi Saitoh
  • Patent number: 9548297
    Abstract: Provided are a semiconductor device and a method of manufacturing the same. The semiconductor device includes a substrate and a PIP capacitor located. The PIP capacitor includes a first polysilicon layer, a metallic silicide layer, a protective layer, a dielectric layer, and a second polysilicon layer, which have a lower conductive plate pattern and are successively arranged. The method includes: providing a substrate; successively forming a first polysilicon layer, a metallic silicide, and a protective layer on the substrate; transferring a lower conductive plate pattern into the first polysilicon layer, the metallic silicide layer, and the protective layer, thus forming the first polysilicon layer, the metallic silicide layer, and the protective layer having the lower conductive plate pattern; successively forming a dielectric layer and a second polysilicon layer having a lower conductive plate pattern on the protective layer. The capacitance and reliability of the PIP capacitor are improved.
    Type: Grant
    Filed: July 31, 2012
    Date of Patent: January 17, 2017
    Assignee: CSMC TECHNOLOGIES FAB2 CO., LTD.
    Inventors: Liangwei Mou, Zhaoxing Huang, Xuelei Chen, Li Wang, Zhewei Wang
  • Patent number: 9548401
    Abstract: A semiconductor device includes a substrate including a first impurity diffusion region having a first doping concentration and at least one second impurity diffusion region having a second doping concentration different from the first doping concentration, the at least one second impurity region being surrounded by the first impurity diffusion region; at least one electrode facing the first impurity diffusion region and the at least one second impurity diffusion region; and at least one insulating layer between the first impurity diffusion region and the at least one electrode, and between the at least one second impurity diffusion region and the at least one electrode.
    Type: Grant
    Filed: April 29, 2015
    Date of Patent: January 17, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Hyun Yoo, Jin-Hyun Noh, Su-Tae Kim, Byeong-Ryeol Lee, Seong-Hun Jang, Jong-Sung Jeon
  • Patent number: 9548260
    Abstract: Semiconductor devices include a substrate having a target connection region; a conductive line having a first side wall spaced apart from the substrate by at least an insulating layer, and a conductive plug structure electrically connecting the conductive line to the target connection region, wherein the conductive plug includes a first conductive plug having a first side wall, a bottom surface contacting the target connection region of the substrate, and a second side wall facing the first side wall of the conductive line, and a second conductive plug between the conductive line and the first conductive plug. The second conductive plug contacts both the first side wall of the conductive line and the second side wall of the first conductive plug.
    Type: Grant
    Filed: February 7, 2014
    Date of Patent: January 17, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Je-min Park, Dae-ik Kim, Ji-young Kim, Nak-jin Son, Yoo-sang Hwang
  • Patent number: 9548115
    Abstract: This variable resistance element is provided with a variable resistance film, a first electrode, which is disposed in contact with one surface of the variable resistance film, and a second electrode, which is disposed in contact with the other surface of the variable resistance film. The first and the second electrodes have corner portions, respectively, and the distance between the corner portions of the first and the second electrodes is set equal to the shortest distance between the first and the second electrodes. Furthermore, the variable resistance element has a third electrode, which is disposed on the one surface of the variable resistance film.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: January 17, 2017
    Assignee: NEC CORPORATION
    Inventors: Munehiro Tada, Toshitsugu Sakamoto, Makoto Miyamura
  • Patent number: 9543152
    Abstract: The semiconductor device includes a substrate, a bottom electrode, a capacitor dielectric layer, a top electrode, an etching stop layer, a first anti-reflective coating layer and a capping layer. The bottom electrode is on the substrate. The capacitor dielectric layer is on the bottom electrode. The capacitor dielectric layer has a first region and a second region adjacent to the first region. The top electrode is on the first region of the capacitor dielectric layer. The etching stop layer is on the top electrode. The first anti-reflective coating layer is on the etching stop layer, in which the first anti-reflective coating layer, the etching stop layer and the top electrode together have a sidewall. The capping layer overlies the sidewall, the etching stop layer, the second region of the capacitor dielectric layer, in which the capping layer is formed from oxide or nitride.
    Type: Grant
    Filed: July 2, 2014
    Date of Patent: January 10, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Ching-Hung Huang, Bo-Chang Su, Chih-Ho Tai, Wen-Tsao Chen, Kuan-Chi Tsai
  • Patent number: 9536881
    Abstract: Semiconductor devices are provided. The semiconductor devices include a first fin; a first gate electrode intersecting the first fin; a first elevated source and/or drain on respective sides of the first gate electrode on the first fin; and a first field dielectric film adjacent the first fin. The first field dielectric film includes a first part below a top surface of the first fin and a second part protruding from the first part and above a top surface of the first fin that makes contact with the first elevated source and/or drain.
    Type: Grant
    Filed: April 23, 2014
    Date of Patent: January 3, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Shigenobu Maeda, Sung-Bong Kim, Chang-Wook Moon, Dong-Hun Lee, Hyung-Soon Jang, Sang-Pil Sim
  • Patent number: 9536939
    Abstract: A metal-insulator-metal (MIM) capacitor is provided on a surface of an insulator layer that is located on a handle substrate. The MIM capacitor includes a first metal structure extending upwards from a first portion of the insulator layer, a second metal structure extending upwards from a second portion of the insulator layer, and an oxide fin located between the first and second metal structures, wherein the oxide fin directly contacts an entirety of a sidewall surface of the first metal structure and an entirety of a sidewall surface of the second metal structure, the oxide fin having a topmost surface that is coplanar with a topmost surface of both the first and second metal structures.
    Type: Grant
    Filed: October 28, 2015
    Date of Patent: January 3, 2017
    Assignee: International Business Machines Corporation
    Inventors: Karthik Balakrishnan, Kangguo Cheng, Pouya Hashemi, Alexander Reznicek
  • Patent number: 9530779
    Abstract: A method for fabricating semiconductor device is disclosed. The method includes the steps of: providing a substrate having at least one fin-shaped structure thereon, wherein the fin-shaped structure comprises a top portion and a bottom portion; removing part of the bottom portion of the fin-shaped structure; forming an epitaxial layer on the substrate to surround the bottom portion of the fin-shaped structure; transforming the bottom portion of the fin-shaped structure into the epitaxial layer; and removing part of the epitaxial layer.
    Type: Grant
    Filed: March 2, 2015
    Date of Patent: December 27, 2016
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventor: Hao-Ming Lee
  • Patent number: 9524974
    Abstract: A dielectric layer extending over a substrate has alternating first and second trenches extending in a first direction. The first trenches have a first shape in cross section along a plane that is perpendicular to the first direction and the second trenches have a second shape in cross section along the plane. Bit lines are located in at least the first trenches.
    Type: Grant
    Filed: July 22, 2015
    Date of Patent: December 20, 2016
    Assignee: SanDisk Technologies LLC
    Inventors: Erika Kanezaki, Ryo Nakamura, Kotaro Jinnouchi, Satoshi Kamata
  • Patent number: 9520330
    Abstract: There is provided a method for the manufacture of an integrated circuit, including a substrate and an insulating layer formed on the substrate; a first pMOS transistor formed on the insulating layer and including a channel formed in a first layer of a silicon—germanium alloy, having a first thickness and first average germanium density; a gate oxide layer having a first equivalent oxide thickness; a second pMOS transistor formed on the insulating layer and further including a channel formed in a second layer of a silicon—germanium alloy, having a second thickness which is greater than the first and a second average germanium density which is lower than the first; and a gate oxide layer having a second equivalent oxide thickness which is greater than the first.
    Type: Grant
    Filed: December 22, 2015
    Date of Patent: December 13, 2016
    Assignees: Commissariat a L'Energie Atomique et aux Energies Alternatives, International Business Machines Corporation
    Inventors: Francois Andrieu, Nicolas Degors, Pierre Perreau
  • Patent number: 9520392
    Abstract: A semiconductor device includes a semiconductor substrate having a fin-type field effect transistor (finFET) on a first region and a fin varactor on a second region. The finFET includes a first semiconductor fin that extends from an upper finFET surface thereof to the upper surface of the first region to define a first total fin height. The fin varactor includes a second semiconductor fin that extends from an upper varactor surface thereof to the upper surface of the second region to define a second total fin height that is different from the first total fin height of the finFET.
    Type: Grant
    Filed: November 30, 2015
    Date of Patent: December 13, 2016
    Assignees: INTERNATIONAL BUSINESS MACHINES CORPORATION, GLOBALFOUNDRIES INC.
    Inventors: Kangguo Cheng, Junli Wang, Ruilong Xie, Tenko Yamashita
  • Patent number: 9515259
    Abstract: A phase change memory includes a substrate, a number of row electrode leads located on the substrate, and a number of column electrode leads located on the substrate and intersected with the number of row electrode leads to define a number of sections. A number of phase change memory units is received in the number of sections and includes a first circuit and a second circuit. The first circuit includes a carbon nanotube wire electrically connected between the first row electrode lead and first column electrode lead, the carbon nanotube wire includes a bending portion. The second circuit includes the first row electrode lead, the carbon nanotube wire, a phase change layer, and the second row electrode lead electrically connected in series, wherein the phase change layer is electrically connected between the bending portion of the carbon nanotube wire and the second row electrode.
    Type: Grant
    Filed: December 29, 2014
    Date of Patent: December 6, 2016
    Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Peng Liu, Yang Wu, Qun-Qing Li, Kai-Li Jiang, Jia-Ping Wang, Shou-Shan Fan
  • Patent number: 9515156
    Abstract: A method for providing a FinFET device with an air gap spacer includes providing a substrate a plurality of fins and a dummy gate arranged transverse to the plurality of fins; depositing a sacrificial spacer around the dummy gate; depositing a first interlayer dielectric (ILD) layer around the sacrificial spacer; selectively etching the dummy polysilicon gate relative to the first ILD layer and the sacrificial spacer; depositing a replacement metal gate (RMG); etching a portion of the RMG to create a recess surrounded by the sacrificial spacer; and depositing a gate capping layer in the recess. The gate capping layer is at least partially surrounded by the sacrificial spacer and is made of silicon oxycarbide (SiOC).
    Type: Grant
    Filed: October 15, 2015
    Date of Patent: December 6, 2016
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Paul Raymond Besser, Bart van Schravendijk, Yoshie Kimura, Gerardo A. Delgadino, Harald Orkorn-Schmidt, Dengliang Yang
  • Patent number: 9515151
    Abstract: Methods of forming memory cells including a charge storage structure having a gettering agent therein can be useful for non-volatile memory devices. Providing for gettering of oxygen from a charge-storage material of the charge storage structure can facilitate a mitigation of detrimental oxidation of the charge-storage material.
    Type: Grant
    Filed: August 10, 2015
    Date of Patent: December 6, 2016
    Assignee: Micron Technology, Inc.
    Inventors: Rhett Brewer, Durai V. Ramaswamy
  • Patent number: 9515022
    Abstract: A method for fabricating a semiconductor device includes preparing a substrate which includes a memory cell region and a peripheral circuit region; forming a buried word line in the substrate in the memory cell region; forming a planar gate structure over the substrate in the peripheral circuit region; forming a bit line structure over the substrate in the memory cell region; forming a first air spacers over a sidewalls of the planar gate structure; and forming a second air spacers over a sidewalls of the bit line structure.
    Type: Grant
    Filed: June 1, 2016
    Date of Patent: December 6, 2016
    Assignee: SK Hynix Inc.
    Inventors: Se-Han Kwon, Ill-Hee Joe, Dae-Sik Park, Hwa-Chul Lee
  • Patent number: 9508753
    Abstract: Provided is a display apparatus capable of stably repairing a bright spot defect to be a black spot without decreasing an aperture ratio of an array substrate. The display device includes: a substrate having a plurality of semiconductor switching elements disposed thereon; a repair island pattern formed on the substrate; a pixel electrode formed to overlap with the repair island pattern in a plan view and not to come into contact with the repair island pattern; and a common electrode overlapping with the repair island pattern in a plan view and having a connection part for connecting the common electrode to the repair island pattern.
    Type: Grant
    Filed: January 11, 2016
    Date of Patent: November 29, 2016
    Assignee: Mitsubishi Electric Corporation
    Inventor: Katsuaki Murakami
  • Patent number: 9508726
    Abstract: A semiconductor device includes a device isolation pattern on a substrate to define active patterns, a gate electrode crossing the active patterns, first and second impurity regions in each of the active patterns and on both sides of the gate electrode, a bit line crossing the gate electrode, a first contact electrically connecting the first impurity region to the bit line, and a second contact electrically connected to the second impurity region. The second contact includes a vertically-extended portion covering an upper side surface of the second impurity region.
    Type: Grant
    Filed: July 20, 2015
    Date of Patent: November 29, 2016
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Yoonho Son, Mongsup Lee
  • Patent number: RE46335
    Abstract: Method for a memory including a first, second, third and fourth cells include applying a read, program, or erase voltage, the first and second cells coupled to a first top interconnect, the third and fourth cells coupled to a second top interconnect, the first and third cells coupled to a first bottom interconnect, the second and fourth cells are to a second bottom interconnect, each cell includes a switching material overlying a non-linear element (NLE), the resistive switching material is associated with a first conductive threshold voltage, the NLE is associated with a lower, second conductive threshold voltage, comprising applying the read voltage between the first top and the first bottom electrode to switch the NLE of the first cell to conductive, while the NLEs of the second, third, and the fourth cells remain non-conductive, and detecting a read current across the first cell in response to the read voltage.
    Type: Grant
    Filed: February 2, 2015
    Date of Patent: March 7, 2017
    Assignee: Crossbar, Inc.
    Inventors: Wei Lu, Sung Hyun Jo, Hagop Nazarian