Etching Insulating Layer By Chemical Or Physical Means (epo) Patents (Class 257/E21.249)
  • Publication number: 20090111269
    Abstract: By exposing a process control wafer having a porous low-k-dielectric layer thereon in an HF-based low-k dielectric etching solvent comprising a dilating additive and a passivating additive, the pores in the low-k dielectric layer are dilated some of which connect with one another to form one or more continuous channels extending through the thickness of the dielectric layer and allowing the HF-based solvent to reach down to the substrate. Then the passivating additive component of the HF-based etching solvent forms a passivation layer at the dielectric layer and the substrate interface that protects substrate from the HF-based etchant.
    Type: Application
    Filed: October 31, 2007
    Publication date: April 30, 2009
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tai-Yung Yu, Yu-Sheng Su, Li-Te Hsu, Jin-Lin Liang, Pin-Chia Su
  • Publication number: 20090108415
    Abstract: By forming an intermediate etch stop material or by appropriately positioning an additional etch stop material in a spacer structure of a polysilicon line, the probability of exposing a shallow doped region of an active semiconductor region during a critical contact etch step for forming rectangular contacts may be significantly reduced. Thus, leakage current, which may conventionally be created by etching into shallow doped regions during the contact etch step, may be reduced.
    Type: Application
    Filed: April 22, 2008
    Publication date: April 30, 2009
    Inventors: Markus LENSKI, Stephan KRUEGEL, Andreas GEHRING
  • Publication number: 20090108306
    Abstract: Columnar elements which extend to varying heights above a major surface of a substrate, e.g., polysilicon studs within trenches in the substrate, are recessed to a uniform depth below the major surface. The columnar elements are etched selectively with respect to a material exposed at the surface in an at least partly lateral direction so that the columnar elements are recessed to a uniform depth below the major surface at walls of the trenches.
    Type: Application
    Filed: October 31, 2007
    Publication date: April 30, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Johnathan E. Faltermeier, Xi Li
  • Publication number: 20090104415
    Abstract: A layer combination with a marking is proposed, for example, for a miniaturized electrical component. The layer combination includes a first layer and a different release layer, which is applied on it, on which a pattern is formed by a released pattern-like area. The release area is formed from an inorganic, semiconducting, insulating material, where the pattern produced thereon is machine-readable.
    Type: Application
    Filed: October 24, 2008
    Publication date: April 23, 2009
    Inventors: Alexander Schmajew, Hans Krueger, Alois Stelzl
  • Publication number: 20090102023
    Abstract: One possible embodiment is a method for manufacturing a structure on a substrate which can be used in the manufacturing of a semiconductor device, including the steps of: forming a first structure on the substrate having at least one sidewall, forming at least one layer as a second structure selectively on the at least one sidewall of the first structure by an epitaxial technique, electroplating, selective silicon dioxide deposition, selective low pressure CVD or an atomic layer deposition technique. Furthermore semiconductor devices, uses of equipment and structures are covered.
    Type: Application
    Filed: October 19, 2007
    Publication date: April 23, 2009
    Inventors: Stephan Wege, Chirstoph Noelscher, Alfred Kersch, Hocine Boubekeur, Christoph Ludwig
  • Publication number: 20090101987
    Abstract: A semiconductor device includes: a semiconductor substrate; a p-channel field effect transistor formed in a first region of the semiconductor substrate; an n-channel field effect transistor formed in a second region of the semiconductor substrate; a compressive stress film with a compressive stress generated inside, the compressive stress film covering the first region; a tensile stress film with a tensile stress generated inside, the tensile stress film covering the second region; and a buffer film located between the p-channel field effect transistor and the n-channel field effect transistor on the semiconductor substrate, the magnitude of internal stress of the buffer film being smaller than the magnitude of the compressive stress of the compressive stress film and the magnitude of the tensile stress of the tensile stress film.
    Type: Application
    Filed: October 15, 2008
    Publication date: April 23, 2009
    Inventors: Kaoru HIYAMA, Tatsurou Sawada, Osamu Fujii
  • Publication number: 20090104764
    Abstract: A method for forming a structure includes forming at least one feature across a surface of a substrate. A nitrogen-containing dielectric layer is formed over the at least one feature. A first portion of the nitrogen-containing layer on at least one sidewall of the at least one feature is removed at a first rate and a second portion of the nitrogen-containing layer over the substrate adjacent to a bottom region of the at least one feature is removed at a second rate. The first rate is greater than the second rate. A dielectric layer is formed over the nitrogen-containing dielectric layer.
    Type: Application
    Filed: October 22, 2007
    Publication date: April 23, 2009
    Applicant: Applied Materials, Inc.
    Inventors: Li-Qun Xia, Mihaela Balseanu, Victor Nguyen, Derek R. Witty, Hichem M'Saad, Haichun Yang, Xinliang Lu, Chien-Teh Kao, Mei Chang
  • Publication number: 20090104780
    Abstract: A method for manufacturing a semiconductor device includes forming an ONO layer in a memory region and forming several gate oxide layer patterns in a logic region, a nitride layer in the logic region can be used as a hard mask, enabling a reduction in the number of masks used. This results in improved manufacturing efficiency and reduced manufacturing costs of a SONOS semiconductor device.
    Type: Application
    Filed: October 16, 2008
    Publication date: April 23, 2009
    Inventor: In-Kun Lee
  • Publication number: 20090098735
    Abstract: A method of forming an isolation layer in a semiconductor device which prevents formation of voids in the isolation layer by sequentially forming an insulating layer and an anti-reflective layer on and/or over a semiconductor substrate, and then forming a photoresist pattern on and/or over the anti-reflective layer, and then forming an insulating layer pattern on and/or over and corresponding to an isolation area of the substrate by performing an etch process using the photoresist pattern as an etch mask, and then forming a polysilicon layer around the insulating layer pattern such that the insulating layer patterns protrudes from the uppermost surface of the polysilicon layer.
    Type: Application
    Filed: September 30, 2008
    Publication date: April 16, 2009
    Inventor: Eun-Sang Cho
  • Publication number: 20090090974
    Abstract: A dual stress liner structure having a substantially planar interface between liners and a related method are disclosed. In one embodiment, a dual stress liner structure may include a tensile stress liner over an NFET, the NFET including a PFET adjacent thereto; and a compressive stress liner over the PFET, wherein an upper surface of the compressive stress liner is substantially planar with an upper surface of the tensile stress liner at an interface therebetween.
    Type: Application
    Filed: October 8, 2007
    Publication date: April 9, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Gregory Costrini, David M. Fried, Werner A. Rausch, Christopher D. Sheraw
  • Publication number: 20090090938
    Abstract: A method for fabricating a semiconductor structure uses a volumetric change ion implanted into a volumetric change portion of a gate electrode that is located over a channel region within a semiconductor substrate to form a volume changed portion of the gate electrode located over the channel region within the semiconductor substrate. The volume changed portion of the gate electrode is typically bidirectionally symmetrically graded in a vertical direction. The volume-changed portion of the gate electrode has a first stress that induces a second stress different than the first stress into the channel region of the semiconductor substrate.
    Type: Application
    Filed: October 4, 2007
    Publication date: April 9, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Zhijiong Luo, Huilong Zhu
  • Publication number: 20090093121
    Abstract: In a method for fabricating a fine pattern, a target layer to be patterned is formed on a semiconductor substrate. A sacrificial pattern is formed on the target layer. The sacrificial pattern includes first sacrificial patterns arranged at a first spacing, and second and third sacrificial patterns arranged in pairs at a second spacing less than the first spacing. A spacer having a first portion and a second portion is formed. The first portion is attached to sidewalls of the first sacrificial patterns, and the second portion is attached on both facing sides of the second and third sacrificial patterns to fill a gap defined by the second spacing. The second portion has a critical dimension greater than the first portion. The sacrificial pattern is selectively removed.
    Type: Application
    Filed: April 17, 2008
    Publication date: April 9, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Jae In Moon
  • Publication number: 20090087993
    Abstract: Methods and apparatus are provided for forming an array of devices. The invention includes forming a stack of material layers, forming a first hardmask over the plurality of material layers, exposing the first hardmask to ozone mixed with a halogenated additive, forming a protective layer over the first hardmask, forming a second mask on the protective layer shifted relative to the first mask, exposing the second hardmask to ozone mixed with the halogenated additive, and etching the plurality of material layers to remove material not covered by the hardmasks. Numerous other aspects are disclosed.
    Type: Application
    Filed: September 28, 2007
    Publication date: April 2, 2009
    Inventor: Steven Maxwell
  • Publication number: 20090087992
    Abstract: A method of minimizing undercut of a hard mask in an integrated circuit (IC) structure including steps of providing an IC structure having a substrate, a interlayer dielectric layer, and a hard mask, forming a via in said IC structure, and depositing an organic planarizing layer (OPL) over the IC structure such that it fills the vias formed therein. The method also includes steps of forming a masking structure layer over the OPL, forming an opening in the masking structure that has a critical dimension (CD) smaller than an opening design dimension, anisotropic etching the OPL such that sidewall of the via remains covered with the OPL while forming a trench, and removing any remaining OPL on the sidewalls and trench, wherein the undercut of the sidewalls with respect to the hard mask is minimized by the covering of OPL during the anisotropic etching process.
    Type: Application
    Filed: September 28, 2007
    Publication date: April 2, 2009
    Applicants: Chartered Semiconductor Manufacturing Ltd., Infineon Technologies North America Corp., International Business Machines Corporation
    Inventors: Ravi Prakash SRIVASTAVA, Hermann WENDT, Kaushik A. KUMAR, Nicholson M. LEE
  • Publication number: 20090085097
    Abstract: Methods and associated structures of forming a microelectronic device are described. Those methods may include removing residual dielectric material from a metal gate structure, and then forming a stress relief layer on a top surface and on a sidewall region of the metal gate structure. A stress is introduced into a channel region disposed beneath the metal gate structure.
    Type: Application
    Filed: September 27, 2007
    Publication date: April 2, 2009
    Inventors: Lucian Shifren, Keith E. Zawadzki
  • Publication number: 20090081880
    Abstract: The present invention provides a method for manufacturing a semiconductor device includes: a immersion process of immersing, in a fluoronitric acid solution, a lamination substrate, in which an SiC substrate formed of a silicon carbide (SiC) single crystal is applied to a silicon substrate or a quarts substrate with a larger hole diameter than the SiC substrate; and a peeling process of taking out the SiC substrate which is not dissolved and remains in the fluoronitric acid solution after the silicon substrate or the quartz substrate is dissolved and removed from the fluoronitric acid solution.
    Type: Application
    Filed: September 10, 2008
    Publication date: March 26, 2009
    Applicant: OKI ELECTRIC INDUSTRY CO., LTD.
    Inventor: Toru Yoshie
  • Publication number: 20090079011
    Abstract: A method and semiconductor structure that overcome the dual stress liner boundary problem, without significantly increasing the overall size of the integrated circuit, are provided. In accordance with the present invention, the dual stress liner boundary or gap therebetween is forced to land on a neighboring dummy gate region. By forcing the dual stress liner boundary or gap between the liners to land on the dummy gate region, the large stresses associated with the dual stress liner boundary or gap are transferred to the dummy gate region, not the semiconductor substrate. Thus, the impact of the dual stress liner boundary on the nearest neighboring FET is reduced. Additionally, benefits of device variability and packing density are achieved utilizing the present invention.
    Type: Application
    Filed: December 4, 2008
    Publication date: March 26, 2009
    Applicant: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Brian J. Greene
  • Publication number: 20090081867
    Abstract: The present disclosure relates to a method of manufacturing a substrate. The method includes: (a) forming through holes by applying an anisotropic etching to a silicon substrate from a first surface of the silicon substrate; (b) forming a first insulating film to cover the first surface of the silicon substrate, surfaces of the silicon substrate exposed from the through holes, and a second surface of the silicon substrate opposite to the first surface; (c) forming an opening in a portion of the first insulating film provided on the second surface, the portion of the first insulating film corresponding to an area in which the through holes are formed; (d) etching the silicon substrate using the first insulating film provided on the second surface as a mask, thereby forming a cavity in the silicon substrate; and (e) removing the first insulating film.
    Type: Application
    Filed: September 19, 2008
    Publication date: March 26, 2009
    Applicant: SHINKO ELECTRIC INDUSTRIES CO., LTD.
    Inventors: Yuichi TAGUCHI, Akinori Shiraishi, Masahiro Sunohara, Kei Murayama, Hideaki Sakaguchi, Mitsutoshi Higashi
  • Publication number: 20090072355
    Abstract: A protective dielectric layer is formed on a first shallow trench having straight sidewalls, while exposing a second shallow trench. An oxidation barrier layer is formed on the semiconductor substrate. A resist is applied and recessed within the second shallow trench. The oxidation barrier layer is removed above the recessed resist. The resist is removed and thermal oxidation is performed so that a thermal oxide collar is formed above the remaining oxidation mask layer. The oxidation barrier layer is thereafter removed and exposed semiconductor area therebelow depth is etched to form a bottle shaped shallow trench. The first and the bottle shaped trenches are filled with a dielectric material to form a straight sidewall shallow trench isolation structure and a bottle shallow trench isolation structure, respectively. Both shallow trench isolation structures may be employed to provide optimal electrical isolation and device performance to semiconductor devices having different depths.
    Type: Application
    Filed: September 17, 2007
    Publication date: March 19, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Lisa F. Edge, Johnathan E. Faltermeier, Naoyoshi Kusaba
  • Publication number: 20090075480
    Abstract: Interconnects of integrated circuits (ICs) utilize low-k dielectrics, copper metal lines, dual damascene processing and amplified photoresist chemistry to build ICs with features smaller than 100 nm. Photolithographic processing of interconnects with these elements are subject to resist poisoning from nitrogen in etch stop and hard mask dielectric layers. Attempts to solve this problem cause lower IC circuit performance or higher fabrication process cost and complexity. This invention comprises a method of fabricating interconnects in an IC using layers of silicon carbide doped oxide (SiCO) in a via etch stop layer, in a trench etch stop layer, as a via etch hard mask and as a trench etch hard mask.
    Type: Application
    Filed: September 18, 2007
    Publication date: March 19, 2009
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Laura M. Matz, Ping N. Jiang, William Wesley Dostalik
  • Publication number: 20090075479
    Abstract: A substrate having a copper wiring is prepared. An insulating film is formed on the copper wiring. The insulating film is etched with a gas containing fluorine to form an opening reaching the copper wiring. A plasma treatment is carried out on a surface of copper exposed at a bottom of the opening without turning plasma discharge of f after forming the opening in the same chamber as the formation of the opening.
    Type: Application
    Filed: November 6, 2008
    Publication date: March 19, 2009
    Applicant: RENESAS TECHNOLOGY CORP.
    Inventor: Kenji Tabaru
  • Publication number: 20090068767
    Abstract: A method for designing an etch recipe is provided. An etch is performed, comprising providing an etch gas with a set halogen to carbon ratio, forming a plasma from the etch gas, and etching trenches over via. Via faceting is measured. The halogen to carbon ratio is reset according to the measured via faceting, where the halogen to carbon ratio is increased if too much faceting is measured and the halogen to carbon ratio is decreased if too little faceting is measured. The previous steps are repeated until a desired amount of faceting is obtained.
    Type: Application
    Filed: September 12, 2007
    Publication date: March 12, 2009
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Stephen Sirard, Mikio Nagai, Kenji Takeshita, Sridharan Srivatsan, Jungmin Ko
  • Publication number: 20090068835
    Abstract: A method of forming a high aspect ratio via opening through multiple dielectric layers, a high aspect ratio electrically conductive via, methods of forming three-dimension integrated circuits, and three-dimensional integrated circuits. The methods include forming a stack of at least four dielectric layers and etching the first and third dielectric layers with processes selective to the second and fourth dielectric layers, etching the second and third dielectric layers with processes selective to the first and second dielectric layers. Advantageously the process used to etch the third dielectric layer is not substantially selective to the first dielectric layer.
    Type: Application
    Filed: September 11, 2007
    Publication date: March 12, 2009
    Inventors: Douglas C. La Tulipe, JR., Mark Todhunter Robson
  • Publication number: 20090061633
    Abstract: According to an aspect of an embodiment, a method of manufacturing a semiconductor device has forming an insulating layer comprising silica-based insulating material, processing the insulating layer, hydrophobizing the insulating layer by applying a silane compound to act on the insulating layer; and irradiating the insulating layer with light or an electron beam.
    Type: Application
    Filed: August 27, 2008
    Publication date: March 5, 2009
    Applicant: FUJITSU LIMITED
    Inventors: Yoshihiro NAKATA, Tadahiro IMADA, Shirou OZAKI, Yasushi KOBAYASHI, Kohta YOSHIKAWA, Ei YANO
  • Publication number: 20090061637
    Abstract: A manufacturing method for a semiconductor device includes: forming a first material film, a second material film, each having a function of preventing metal diffusion, and a third material film of which the etching rate for a first etchant is sufficiently lower than that of the first material film and the etching rate for a second etchant is sufficiently lower than that of the second material film, in this order on the outer peripheral surface of the semiconductor substrate; forming a trench structure; forming a buried insulating film and flattening it; removing the second material film through a wet etching process using the second etchant until the first material film formed on the main surface side is exposed; and removing the first material film on the main surface side through a wet etching process using the first etchant until the semiconductor substrate is exposed on the main surface side.
    Type: Application
    Filed: August 25, 2008
    Publication date: March 5, 2009
    Inventor: Hiroshi IWATA
  • Publication number: 20090061636
    Abstract: The invention discloses etching method for the nitride semiconductor. Firstly dielectric layer is formed on gallium nitride. The line pattern or dot pattern is formed on the dielectric layer by using the exposure, development, and etching processes. The dielectric layer is used as the mask for the epitaxial lateral overgrowth of follow-up gallium nitride layer. The thick gallium nitride film is grown on the dielectric layer. Then the wet etching process is used to remove the dielectric layer, and the thick gallium nitride film on the dielectric layer is etched to form the specific shape as required.
    Type: Application
    Filed: September 4, 2007
    Publication date: March 5, 2009
    Applicant: National Chiao Tung University
    Inventors: Wei-I Lee, Hsin-Hsiung Huang, Hung-Yu Zeng
  • Publication number: 20090057817
    Abstract: A micro electromechanical system and a fabrication method thereof, which has trenches formed on a substrate to prevent circuits from interfering each other, and to prevent over-etching of the substrate when releasing a microstructure.
    Type: Application
    Filed: August 27, 2007
    Publication date: March 5, 2009
    Inventors: Li-Ken YEH, I-Hsiang CHIU
  • Publication number: 20090053898
    Abstract: A slot is formed that reaches through a first side of a silicon substrate to a second side of the silicon substrate. A trench is laser patterned. The trench has a mouth at the first side of the silicon substrate. The trench does not reach the second side of the silicon substrate. The trench is dry etched until a depth of at least a portion of the trench is extended approximately to the second side of the silicon substrate (12). A wet etch is performed to complete formation of the slot. The wet etch etches silicon from all surfaces of the trench.
    Type: Application
    Filed: August 21, 2007
    Publication date: February 26, 2009
    Inventors: Swaroop K. Kommera, Siddhartha Bhowmik, Richard J. Oram, Sriram Ramamoorthi, David M. Braun
  • Publication number: 20090053899
    Abstract: Provided is a method of fabricating a semiconductor device. The method includes providing a substrate, forming a photo acid generator (PAG) layer on the substrate, exposing the PAG layer to radiation, and forming a photoresist layer on the exposed PAG layer. The exposed PAG layer generates an acid. The acid decomposes a portion of the formed photoresist layer. In one embodiment, the PAG layer includes organic BARC. The decomposed portion of the photoresist layer may be used as a masking element.
    Type: Application
    Filed: August 20, 2007
    Publication date: February 26, 2009
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: George Liu, Kuei Shun Chen, Vencent Chang, Shang-Wen Chang
  • Publication number: 20090053879
    Abstract: A method of fabricating a semiconductor device includes providing a semiconductor substrate in which a gate insulating layer and a pad layer are formed in an active region. A first trench is formed in an isolation region of the substrate. A passivation film is formed to cover the pad layer and fill the first trench. A second trench is formed by patterning the pad layer and removing an exposed semiconductor substrate, the second trench being formed within the first trench. An ion implantation process is performed on the semiconductor substrate exposed through the second trench.
    Type: Application
    Filed: December 5, 2007
    Publication date: February 26, 2009
    Applicant: Hynix Semiconductor Inc,
    Inventor: Guee-Hwang SIM
  • Publication number: 20090053897
    Abstract: A method of fabricating a circuit board is disclosed. The method includes: forming a trench in a base and forming an electroless plating layer over a surface of the base and an inner surface of the trench; providing a carrier, on one side of which a plating resist is coated; forming a transcribed part on the surface of the base by stacking the carrier onto the base and transcribing the plating resist onto the surface of the base; forming a pattern in the trench by plating, and removing the transcribed part; and removing portions of the electroless plating layer and the pattern. This method makes it possible to form circuit patterns with a uniform thickness and to provide high workability.
    Type: Application
    Filed: April 8, 2008
    Publication date: February 26, 2009
    Applicant: SAMSUNG ELECTRO-MECHANICS CO., LTD.
    Inventor: Ryoichi Watanabe
  • Publication number: 20090039476
    Abstract: Embodiments of an apparatus and methods for fabricating a spacer on one part of a multi-gate transistor without forming a spacer on another part of the multi-gate transistor are generally described herein. Other embodiments may be described and claimed.
    Type: Application
    Filed: October 7, 2008
    Publication date: February 12, 2009
    Inventors: Jack T. Kavalieros, Uday Shah, Willy Rachmady, Brian S. Doyle
  • Publication number: 20090039334
    Abstract: A phase-change memory device and a fabrication method thereof, capable of reducing driving current while minimizing a size of a contact hole used for forming a PN diode in the phase-change memory device that employs the PN diode. The method of fabricating the phase-change memory device includes the steps of preparing a semiconductor substrate having a junction area formed with a dielectric layer, forming an interlayer dielectric layer having etching selectivity lower than that of the dielectric layer over an entire structure, and forming a contact hole by removing predetermined portions of the interlayer dielectric layer and the dielectric layer. The contact area between the PN diode and the semiconductor substrate is increased so that interfacial resistance is reduced.
    Type: Application
    Filed: June 25, 2008
    Publication date: February 12, 2009
    Applicant: Hynix Semiconductor, Inc.
    Inventors: Su Jin CHAE, Keum Bum LEE, Min Yong LEE
  • Publication number: 20090042394
    Abstract: In the case in which a film for a resist is formed by spin coating, there is a resist material to be wasted, and the process of edge cleaning is added as required. Further, when a thin film is formed on a substrate using a vacuum apparatus, a special apparatus or equipment to evacuate the inside of a chamber vacuum is necessary, which increases manufacturing cost. The invention is characterized by including: a step of forming conductive layers on a substrate having a dielectric surface in a selective manner with a CVD method, an evaporation method, or a sputtering method; a step of discharging a compound to form resist masks so as to come into contact with the conductive layer; a step of etching the conductive layers with plasma generating means using the resist masks under the atmospheric pressure or a pressure close to the atmospheric pressure; and a step of ashing the resist masks with the plasma generating means under the atmospheric pressure or a pressure close to the atmospheric pressure.
    Type: Application
    Filed: October 3, 2008
    Publication date: February 12, 2009
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Shunpei YAMAZAKI, Hideaki KUWABARA
  • Publication number: 20090042391
    Abstract: A method for forming patterns comprises providing a substrate. A set of seed features is formed over the substrate. At least one bi-layer comprising a first layer followed by a second layer is formed on the set of seed features. The first layer and the second layer above the set of seed features are removed. The first layer and the second layer are anisotropically etched successively at least one time to form an opening next to the set of seed features.
    Type: Application
    Filed: August 6, 2007
    Publication date: February 12, 2009
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventor: Frederick T. Chen
  • Publication number: 20090042395
    Abstract: A two-step spacer etch is used for the formation of a spacer in CMOS fabrication. A dry etch is first applied to remove part of the spacer material on the silicon substrate and leave a thin layer of the spacer material remained on the silicon substrate. Then, a wet etch is applied to completely remove the thin layer of the spacer material on the silicon substrate. The wet etch has good etch selectivity between the spacer material and silicon, and thus will not damage the surface of the silicon substrate when the spacer is formed. Therefore, the BJT on the silicon substrate is prevented from junction leakage.
    Type: Application
    Filed: October 14, 2008
    Publication date: February 12, 2009
    Inventors: Chien-Ling Chan, Jing-Meng Liu, Hung-Der Su
  • Publication number: 20090032830
    Abstract: A light-emitting diode and the manufacturing method thereof are disclosed. The manufacturing method comprises the steps of: sequentially forming a refraction dielectric layer, a bonding layer, an epitaxy structure and a first electrode on a permanent substrate, wherein the epitaxy structure comprises a first conductivity type semiconductor layer, an active layer and a second conductivity type semiconductor layer stacked in sequence; and forming a second electrode on the portion surface of the second conductivity type semiconductor layer. Therefore the light-emitting diode is achieved.
    Type: Application
    Filed: October 9, 2007
    Publication date: February 5, 2009
    Applicant: CHI MEI LIGHTING TECHNOLOGY CORP.
    Inventor: Kuo-Yuin Li
  • Publication number: 20090035534
    Abstract: A reusable substrate structure and a method of handling the reusable substrate are disclosed. The reusable substrate structure comprises a substrate, at least one epitaxial layer and at least one inter layer. The method used in this invention is by employing a separating method in order to decompose the inter layer. Since the inter layer is decomposed, the substrate and the epitaxial layer will be separated. This achieves the goal of reusable substrate and then can save the material cost without additional wasting.
    Type: Application
    Filed: June 11, 2008
    Publication date: February 5, 2009
    Inventors: Juh-Yuh Su, Hung-Jen Chen
  • Publication number: 20090035944
    Abstract: Methods for forming an ultra thin structure using a method that includes multiple cycles of polymer deposition of photoresist (PDP) process and etching process. The embodiments described herein may be advantageously utilized to fabricate a submicron structure on a substrate having a critical dimension less than 55 nm and beyond. In one embodiment, a method of forming a submicron structure on a substrate may include providing a substrate having a patterned photoresist layer disposed on a film stack into an etch chamber, wherein the film stack includes at least a hardmask layer disposed on a dielectric layer, performing a polymer deposition process to deposit a polymer layer on the pattered photoresist layer, thus reducing a critical dimension of an opening in the patterned photoresist layer, and etching the underlying hardmask layer through the opening having the reduced dimension.
    Type: Application
    Filed: July 3, 2008
    Publication date: February 5, 2009
    Inventors: Kang-Lie Chiang, Chia-Ling Kao
  • Publication number: 20090028745
    Abstract: Methods of forming a ruthenium containing film on a substrate with a ruthenium precursor which contains nitrogen and two differing ligands.
    Type: Application
    Filed: July 24, 2008
    Publication date: January 29, 2009
    Inventors: Julien Gatineau, Christian Dussarrat
  • Publication number: 20090029556
    Abstract: A method for forming a shallow trench isolation includes providing a substrate with a trench, a first liner layer and a second liner layer sequentially in the trench with a first oxide filling the trench, performing a first wet etching to remove part of the first oxide and part of the first liner layer to expose the substrate, performing a second wet etching to remove part of the second liner layer so that the second liner layer is lower than surface of the substrate, performing a third wet etching to remove part of the first oxide and part of the first liner layer, and filling the trench with a second oxide to form a shallow trench isolation.
    Type: Application
    Filed: January 2, 2008
    Publication date: January 29, 2009
    Inventors: Chien-Mao Liao, Shing-Yih Shih
  • Publication number: 20090026584
    Abstract: A method for manufacturing a semiconductor device which includes fine patterns having various critical dimensions (CDs) by adjusting a thickness of spacer used as an etching mask in Spacer Patterning Technology (SPT). The method for manufacturing a semiconductor device includes forming spacers at a different level over an etching target layer and etching the etching target layer exposed among the spacers.
    Type: Application
    Filed: June 5, 2008
    Publication date: January 29, 2009
    Inventors: Dong Sook Chang, Hyoung Soon Yune
  • Publication number: 20090029517
    Abstract: A method of making a semiconductor device, comprising: forming a first material and a second material; forming a first oxide on the first material and a second oxide on the second material; and etching second material so as to remove at least a portion of the second material.
    Type: Application
    Filed: July 23, 2007
    Publication date: January 29, 2009
    Applicant: INFINEON TECHNOLOGIES AG
    Inventor: Detlef Wilhelm
  • Publication number: 20090029554
    Abstract: A method for mounting a dielectric substrate to a semiconductor substrate, such as mounting a dielectric antenna substrate to an MMIC semiconductor substrate. The method includes providing a thin dielectric antenna substrate having metallized layers on opposing sides. In one embodiment, carrier wafers are used to handle and maintain the dielectric substrate in a flat configuration as the metallized layers are patterned. The dielectric substrate is sealed to the semiconductor substrate using a low temperature bonding process. In an alternate embodiment, the metallized layers on the dielectric substrate are patterned simultaneously so as to prevent the substrate from curling.
    Type: Application
    Filed: July 25, 2007
    Publication date: January 29, 2009
    Applicant: Northrop Grumman Space & Mission Systems Corp.
    Inventors: Patty Pei-Ling Chang-Chien, Chi Kong Cheung, Melanie Sachiko Yajima, Xianglin Zeng
  • Publication number: 20090023279
    Abstract: The present invention relates to a method of fabricating a flash memory device and includes forming an air-gap having a low dielectric constant between word lines and floating gates. Further, a tungsten nitride (WN) layer is formed on sidewalls of a tungsten (W) layer for a control gate. Hence, the cross section of the control gate that is finally formed can be increased while preventing abnormal oxidization of the tungsten layer in a subsequent annealing process. The method of the present invention can improve interference between neighboring word lines and, thus improve the reliability of a device. Accordingly, a robust high-speed device can be implemented.
    Type: Application
    Filed: June 2, 2008
    Publication date: January 22, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Eun Soo Kim, Jung Geun Kim, Suk Joong Kim
  • Publication number: 20090023292
    Abstract: A photoelectric conversion device according to the present invention has a plurality of photoreceiving portions provided in a substrate, an interlayer film overlying the photoreceiving portion, a large refractive index region which is provided so as to correspond to the photoreceiving portion and has a higher refractive index than the interlayer film, and a layer which is provided in between the photoreceiving portion and the large refractive index region, and has a lower etching rate than the interlayer film, wherein the layer of the lower etching rate is formed so as to cover at least the whole surface of the photoreceiving portion. In addition, the layer of the lower etching rate has a refractive index in between the refractive indices of the large refractive index region and the substrate. Such a configuration can provide the photoelectric conversion device which inhibits the lowering of the sensitivity and the variation of the sensitivity among picture elements.
    Type: Application
    Filed: September 22, 2008
    Publication date: January 22, 2009
    Applicant: Canon Kabushiki Kaisha
    Inventor: Sakae Hashimoto
  • Publication number: 20090014808
    Abstract: CMOS (complementary metal oxide semiconductor) fabrication techniques are provided to form DSL (dual stress liner) semiconductor devices having non-overlapping, self-aligned, dual stress liner structures.
    Type: Application
    Filed: July 15, 2007
    Publication date: January 15, 2009
    Inventors: Kyoung-Woo Lee, Ja Hum Ku, Taehoon Lee, Seung-Man Choi, Thomas W. Dyer
  • Publication number: 20090017630
    Abstract: Semiconductor fabrication methods to forma of via contacts in DSL (dual stress liner) semiconductor devices are provided, in which improved etching process flows are implemented to enable etching of via contact openings through overlapped and non-overlapped regions of the dual stress liner structure to expose underlying salicided contacts and other device contacts, while mitigating or eliminating defect mechanisms such as over etching of contact regions underlying non-overlapped regions of the DSL.
    Type: Application
    Filed: July 14, 2007
    Publication date: January 15, 2009
    Inventors: Kyoung Woo Lee, Ja Hum Ku, WanJae Park, Chong Kwang Chang, Theodorus E. Standaert
  • Publication number: 20090017608
    Abstract: A method for fabricating a semiconductor device is provided which has first and second regions, transistors of different conductivity types being formed on parts of a substrate corresponding to the first and second regions. The method includes the steps of: (a) forming a first insulating film to cover the parts of the substrate corresponding to the first and second regions; (b) forming a first thin film on the first insulating film, the first thin film having a relatively higher etching rate than the first insulating film in plasma etching using a halogen gas; and (c) removing a part of the first thin film corresponding to the first region by the plasma etching using a mask covering the second region and modifying a part of the first insulating film corresponding to the first region.
    Type: Application
    Filed: May 6, 2008
    Publication date: January 15, 2009
    Inventor: Kenji Tateiwa
  • Publication number: 20090017627
    Abstract: Methods for reducing line roughness of spacers and other features utilizing a non-plasma and non-wet etch fluoride processing technology are provided. Embodiments of the methods can be used for spacer or line reduction and/or smoothing the surfaces along the edges of such features through the reaction and subsequent removal of material.
    Type: Application
    Filed: July 12, 2007
    Publication date: January 15, 2009
    Inventors: Joseph Neil Greeley, Paul Morgan, Mark Kiehlbauch