Etching Insulating Layer By Chemical Or Physical Means (epo) Patents (Class 257/E21.249)
  • Publication number: 20090017629
    Abstract: A method of forming a contact structure with a contact spacer and a method of fabricating a semiconductor device using the same. In the method of forming a contact structure, an interlayer dielectric layer is formed on a semiconductor substrate. The interlayer dielectric layer is patterned, thereby forming a contact hole for exposing a predetermined region of the semiconductor substrate. A contact spacer is formed on a sidewall of the contact hole using a deposition method having an inclined deposition direction with respect to a main surface of the semiconductor substrate. The deposition direction may be set between the main surface and a normal with respect to the main surface. Further, there is provided a method of fabricating a semiconductor device using the method of forming the contact structure.
    Type: Application
    Filed: July 10, 2008
    Publication date: January 15, 2009
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Yoon-Taek JANG
  • Publication number: 20090017631
    Abstract: A method for fabricating a semiconductor mask is described. The image of a series of lines from a first spacer mask is first provided to a mask layer to form a patterned mask layer. The image of a series of lines from a second spacer mask is then provided to the patterned mask layer to form a pillar mask comprised of a series of pillars. The image of the series of lines from the second spacer mask is non-parallel with the series of lines from the first spacer mask.
    Type: Application
    Filed: May 13, 2008
    Publication date: January 15, 2009
    Inventor: Christopher D. Bencher
  • Publication number: 20090011602
    Abstract: Disclosed is a film forming method of an amorphous carbon film, including: disposing a substrate in a processing chamber; supplying a processing gas containing carbon, hydrogen and oxygen into the processing chamber; and decomposing the processing gas by heating the substrate in the processing chamber and depositing the amorphous carbon film on the substrate.
    Type: Application
    Filed: February 23, 2007
    Publication date: January 8, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Toshihisa Nozawa, Hiraku Ishikawa
  • Publication number: 20090008787
    Abstract: A method of forming a contact structure and a contact structure so formed is described. The structure contacts an underlying layer of a semiconductor junction, wherein the junction comprises the underlying layer of a semiconductor material and is separated from an overlying layer of semiconductor material by creating an undercut region to shade subsequent metal formation. Various steps are performed using inkjet printing techniques.
    Type: Application
    Filed: May 22, 2008
    Publication date: January 8, 2009
    Inventors: Stuart Ross WENHAM, Ly Mai, Nicole Bianca Kuepper, Budi Tjahjono
  • Publication number: 20090008623
    Abstract: Methods of fabricating a nonvolatile memory device using a resistance material and a nonvolatile memory device are provided. According to example embodiments, a method of fabricating a nonvolatile memory device may include forming at least one semiconductor pattern on a substrate, forming a metal layer on the at least one semiconductor pattern, forming a mixed-phase metal silicide layer, in which at least two phases coexist, by performing at least one heat treatment on the substrate so that the at least one semiconductor pattern may react with the metal layer, and exposing the substrate to an etching gas.
    Type: Application
    Filed: June 27, 2008
    Publication date: January 8, 2009
    Inventors: Hyun-Seok Lim, In-Sun Park, Gyu-Hwan Oh, Do-Hyung Kim, Shin-Jae Kang
  • Publication number: 20090011600
    Abstract: The present invention is directed to a method and an apparatus for manufacturing a semiconductor device including step S22 to form an insulating film on a front surface of a semiconductor wafer that is a surface on which a semiconductor element is to be formed and on a back surface that is a surface opposing the front surface, step S26 to remove the insulating film formed on the back surface by selectively providing a first chemical on the back surface of the semiconductor wafer, and step S30 to remove the insulating film formed on the front surface by simultaneously immersing the plurality of semiconductor wafers in a second chemical.
    Type: Application
    Filed: July 1, 2008
    Publication date: January 8, 2009
    Applicant: Spansion LLC
    Inventors: Watanabe TOMOHIRO, Fumihiko INOUE
  • Publication number: 20090011603
    Abstract: The invention prevents a wiring layer in a memory region from being exposed to prevent a change in wire resistance and degradation of reliability. A SiO2 film as an etching stopper film which transmits ultraviolet light is formed on pad electrodes and an interlayer insulation film. Then, the SiO2 film on the pad electrodes is etched selectively and the SiO2 film in an EPROM region is left. A silicon nitride film and a polyimide film are then formed on the SiO2 film and on the pad electrodes where the SiO2 film is removed, as a protection film which does not transmit ultraviolet light. The silicon nitride film and the polyimide film on the pad electrodes and in the EPROM region are then selectively removed by etching. Since the SiO2 film functions as an etching stopper at this time, the interlayer insulation film under the SiO2 film is prevented from being etched and a control gate line metal layer is prevented from being exposed.
    Type: Application
    Filed: January 28, 2008
    Publication date: January 8, 2009
    Applicants: SANYO Electric Co., Ltd., SANYO Semiconductor Co., Ltd.
    Inventors: Yuichi Inaba, Yutaka Yamada, Shigehiro Morikawa
  • Publication number: 20090011601
    Abstract: It is disclosed an over-coating agent for forming fine-line patterns which is applied to cover a substrate having thereon photoresist patterns and allowed to shrink under heat so that the spacing between adjacent photoresist patterns is lessened, with the applied film of the over-coating agent being removed substantially completely to form or define fine trace patterns, further characterized by containing a copolymer or a mixture of polyvinyl alcohol with a water-soluble polymer other than polyvinyl alcohol. Also disclosed is a method of forming fine-line patterns using the over-coating agent. According to the invention, one can effectively increase the shrinkage amount (the amount of heat shrinking) of the agent, thereby achieving a remarkably improved effect of forming or defining fine-line patterns and which also present satisfactory profiles and meet the characteristics required of today's semiconductor devices.
    Type: Application
    Filed: September 8, 2008
    Publication date: January 8, 2009
    Inventors: Yoshiki Sugeta, Fumitake Kaneko, Toshikazu Tachikawa, Kazumasa Wakiya
  • Publication number: 20090004868
    Abstract: In one embodiment, a method comprises forming a sacrificial amorphous silicon layer on a semiconductor substrate, forming a hardmask on the amorphous silicon layer, etching one or more lines in the sacrificial amorphous silicon layer, growing oxide structures on the amorphous silicon layer, and forming a trench in the semiconductor substrate between the oxide structures.
    Type: Application
    Filed: June 29, 2007
    Publication date: January 1, 2009
    Inventors: Brian S. Doyle, Uday Shah, Jack T. Kavalieros
  • Publication number: 20090004866
    Abstract: A method for fabricating a semiconductor device includes forming a target etch layer over a substrate, a first auxiliary layer over the target etch layer, an isolation layer over the first auxiliary layer, and a second auxiliary layer over the isolation layer. A first exposure process is performed, where the first auxiliary layer is in focus and the second auxiliary layer is out of focus. A second exposure process is performed, where the second auxiliary layer in focus and the first auxiliary layer is out of focus. The second auxiliary layer is developed to form first mask patterns. The isolation layer and the first auxiliary layer are etched by using the first mask patterns to form second mask patterns. The second mask patterns are developed to form third mask patterns that are used to facilitate subsequent etching of the target etch layer.
    Type: Application
    Filed: January 18, 2008
    Publication date: January 1, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventors: Woo Yung Jung, Yong Chul Shin
  • Publication number: 20080318429
    Abstract: An object of the present invention is to provide a fabrication method of a semiconductor integrated circuit device capable of improving the throughput, reducing the cost of a cleaning gas and prolonging the life of a process kit by automatically detecting the end point of cleaning in a chamber. A cleaning gas converted into plasma in a plasma gas generator is introduced into a chamber to remove an unnecessary film deposited over the interior wall of the chamber or electrode. By an RF power source adjusted to low output from the film formation time, a high frequency voltage is applied to a lower electrode and an upper electrode. This voltage is detected by an RF sensor and amplified by an electronic module. The voltage thus amplified by the electronic module is input to a termination controller. The termination controller automatically judges the termination of cleaning when the voltage thus input becomes substantially constant at a predetermined voltage or greater.
    Type: Application
    Filed: May 14, 2008
    Publication date: December 25, 2008
    Inventors: Takeshi Ozawa, Yasuyuki Sato
  • Publication number: 20080311753
    Abstract: A method of forming and removing a sacrificial oxide layer is described. The method includes forming a step on a substrate, where the step has a top and sidewalls. The method may also include forming the sacrificial oxide layer around the step by chemical vapor deposition of molecular oxygen and TEOS, where the oxide layer is formed on the top and sidewalls of the step. The method may also include removing a top portion of the oxide layer and the step; removing a portion of the substrate exposed by the removal of the step to form a etched substrate; and removing the entire sacrificial oxide layer from the etched substrate.
    Type: Application
    Filed: June 11, 2008
    Publication date: December 18, 2008
    Applicant: Applied Materials, Inc.
    Inventors: Yi Zheng, Sasha J. Kweskin, Kedar Sapre, Nitin K. Ingle, Zheng Yuan
  • Publication number: 20080311756
    Abstract: A system and method for improving the performance of an integrated circuit by lowering RC delay time is provided. A preferred embodiment comprises adding a reactive etch gas to the ash/flush plasma process following a low-k dielectric etch. The illustrative embodiments implement a removal of the damage layer that is formed during a low-k dielectric etch.
    Type: Application
    Filed: June 15, 2007
    Publication date: December 18, 2008
    Inventors: Chih-Hao Chen, Chia-Cheng Chou, Ming-Chung Liang, Keng-Chu Lin, Tzu-Li Lee
  • Publication number: 20080311754
    Abstract: A method of improving pattern loading in a deposition of a silicon oxide film is described. The method may include providing a deposition substrate to a deposition chamber, and adjusting a temperature of the deposition substrate to about 250° C. to about 325° C. An ozone containing gas may be introduced to the deposition chamber at a first flow rate of about 1.5 slm to about 3 slm, where the ozone concentration in the gas is about 6% to about 12%, by wt. TEOS may also be introduced to the deposition chamber at a second flow rate of about 2500 mgm to about 4500 mgm. The deposition rate of the silicon oxide film is controlled by a reaction rate of a reaction of the ozone and TEOS at a deposition surface of the substrate.
    Type: Application
    Filed: June 11, 2008
    Publication date: December 18, 2008
    Applicant: Applied Materials, Inc.
    Inventors: BALAJI CHANDRASEKARAN, Douglas E. Manning, Nitin K. Ingle, Rong Pan, Zheng Yuan, Sidharth Bhatia
  • Publication number: 20080305641
    Abstract: A method of improving high aspect ratio etching by reverse masking to provide a more uniform mask height between the array and periphery is presented. A layer of amorphous carbon is deposited over a substrate. An inorganic hard mask is deposited on the amorphous carbon followed by a layer of photodefinable material which is deposited over the array portion of the substrate. The photodefinable material is removed along with the inorganic hard mask overlaying the periphery. A portion of the amorphous carbon layer is etched in the exposed periphery. The inorganic hard mask is removed and normal high aspect ratio etching continues. The amount of amorphous carbon layer remaining in the periphery results in a more uniform mask height between the array and periphery at the end of high aspect ratio etching. The more uniform mask height mitigates twisting at the edge of the array.
    Type: Application
    Filed: June 6, 2007
    Publication date: December 11, 2008
    Inventor: Mark Kiehlbauch
  • Publication number: 20080305636
    Abstract: There are provided a method of forming a fine pattern employing self-aligned double patterning. The method includes providing a substrate. First mask patterns are formed on the substrate. A reactive layer is formed on the substrate having the first mask patterns. The reactive layer adjacent to the first mask patterns is reacted using a chemical attachment process, thereby forming sacrificial layers along outer walls of the first mask patterns. The reactive layer that is not reacted is removed to expose the sacrificial layers. Second mask patterns are formed between the sacrificial layers adjacent to sidewalls of the first mask patterns facing each other. The sacrificial layers are removed to expose the first and second mask patterns and the substrate exposed between the first and second mask patterns. The substrate is etched using the first and second mask patterns as an etching mask.
    Type: Application
    Filed: June 3, 2008
    Publication date: December 11, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Kyoung-Mi KIM, Jae-Ho KIM, Young-Ho KIM, Myung-Sun KIM, Youn-Kyung WANG, Mi-Ra PARK
  • Publication number: 20080305642
    Abstract: A method for forming a fine pattern of a semiconductor device comprises forming a deposition pattern including first, second, and third mask patterns over a semiconductor substrate having an underlying layer, side-etching the second mask pattern with the third mask pattern as an etching barrier mask, removing the third mask pattern, forming a spin-on-carbon layer that exposes the upper portion of the second mask pattern, performing an etching process to expose the underlying layer with the spin-on-carbon layer as an etching barrier mask, and removing the spin-on-carbon layer.
    Type: Application
    Filed: November 30, 2007
    Publication date: December 11, 2008
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Ki Lyoung Lee, Cheol Kyu Bok, Keun Do Ban
  • Publication number: 20080305638
    Abstract: A coating composition for forming etch mask patterns may include a polymer and an organic solvent. The polymer may have an aromatic ring substituted by a vinyl ether functional group. The polymer may be, for example, a Novolak resin partially substituted by a vinyl ether functional group or poly(hydroxystyrene) partially substituted by a vinyl ether functional group.
    Type: Application
    Filed: August 8, 2008
    Publication date: December 11, 2008
    Inventors: Sang-jung Choi, Mitsuhiro Hata, Man-hyoung Ryoo, Jung-hwan Hah
  • Publication number: 20080296732
    Abstract: Methods of isolating spaces formed between features in an array during a pitch reduction process and semiconductor device structures having the same. In one embodiment, ends of the features are wider than middle regions of the features. During the pitch reduction process, spacer sidewalls formed between adjacent ends of the features come into substantial contact with one another, isolating the spaces between the features. In another embodiment, the features have a single width and an additional feature is located near ends of the features. Spacer sidewalls formed between adjacent features and the additional feature come into substantial contact with one another, isolating the spaces between the features.
    Type: Application
    Filed: May 31, 2007
    Publication date: December 4, 2008
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Adam L. Olson
  • Publication number: 20080296740
    Abstract: A method for manufacturing a semiconductor device is provided that can reduce warping of manufactured products after the formation of a final protective film. The method includes, in a semiconductor device having a semiconductor substrate provided with wiring and a final protective film formed on the wiring, forming a first protective film on the wiring, forming a second protective film having tensile stress on the first protective film, and removing the first protective film and the second protective film from contact regions of the wiring.
    Type: Application
    Filed: May 19, 2008
    Publication date: December 4, 2008
    Applicant: Oki Electric Industry Co., Ltd.
    Inventor: Hiroyuki Kawano
  • Publication number: 20080299776
    Abstract: A method for fabricating a semiconductor mask is described. A semiconductor stack having a sacrificial mask and a spacer mask is first provided. The sacrificial mask is comprised of a series of lines and the spacer mask has spacer lines adjacent to the sidewalls of the series of lines. Next, the spacer mask is cropped. Finally, the sacrificial mask is removed to provide a cropped spacer mask. The cropped spacer mask doubles the frequency of the series of lines of the sacrificial mask.
    Type: Application
    Filed: October 19, 2007
    Publication date: December 4, 2008
    Inventors: Christopher D. Bencher, Keiji Horioka
  • Patent number: 7459793
    Abstract: A method for forming a contact hole, a method for manufacturing a circuit board and a method for manufacturing an electro-optical device that increase the reliability of electrical coupling via a conductive part and prevent wire-breaking due to projections when forming a contact hole in an interlayer film by using a needle, and burying a conductive material in the contact hole is provided.
    Type: Grant
    Filed: July 31, 2006
    Date of Patent: December 2, 2008
    Assignee: Seiko Epson Corporation
    Inventors: Mitsuaki Harada, Soichi Moriya
  • Publication number: 20080293249
    Abstract: A method for etching features in a silicon layer is provided. A hard mask layer is formed over the silicon layer. A photoresist layer is formed over the hard mask layer. The hard mask layer is opened. The photoresist layer is stripped by providing a stripping gas; forming a plasma with the stripping gas by providing a high frequency RF power and a low frequency RF power, wherein the low frequency RF power has a power less than 50 watts; and stopping the stripping gas when the photoresist layer is stripped. The opening the hard mask layer and the stripping the photoresist layer are performed in a same chamber.
    Type: Application
    Filed: May 24, 2007
    Publication date: November 27, 2008
    Inventors: Sangjun Cho, Tom Choi, Taejoon Han, Sean Kang, Prabhakara Gopaladasu, Bi-Ming Yen
  • Publication number: 20080286971
    Abstract: A sidewall image transfer process for forming sub-lithographic structures employs a layer of sacrificial material that is deposited over a structure layer and covered by a cover layer. The sacrificial material layer and the cover layer are patterned with conventional resist and etched to form a sacrificial mandrel. The edges of the mandrel are oxidized or nitrided in a plasma at low temperature, after which the material layer and the cover layer are stripped, leaving sublithographic sidewalls. The sidewalls are used as hardmasks to etch sublithographic gate structures in the gate conductor layer.
    Type: Application
    Filed: August 5, 2008
    Publication date: November 20, 2008
    Applicant: International Business Machines Corporation
    Inventors: Bruce B. Doris, Toshiharu Furukawa, Mark C. Hakey, Steven J. Holmes, David V. Horak, Charles W. Koburger, III
  • Publication number: 20080286890
    Abstract: A liquid crystal display device including a first substrate, a second substrate facing and spaced away from the first substrate, a liquid crystal layer sandwiched between the first and second substrates, a switching device formed on the first substrate, a first electrically insulating film randomly patterned on the first substrate, a second electrically insulating film covering the first electrically insulating film therewith, and having a wavy surface, and a reflection electrode formed on the second electrically insulating film, and electrically connected to an electrode of the switching device, wherein a light passing through the second substrate and the liquid crystal layer is reflected at the reflection electrode, and the second electrically insulating film extends outwardly from the first electrically insulating film by a certain length at an end of a display region in which images are to be displayed, such that a step formed by the first and second electrically insulating films in the vicinity of the en
    Type: Application
    Filed: October 5, 2007
    Publication date: November 20, 2008
    Applicant: NEC LCD TECHNOLOGIES, LTD.
    Inventors: Hironori Kikkawa, Michiaki Sakamoto, Yuichi Yamaguchi, Hidenori Ikeno, Fumihiko Matsuno
  • Patent number: 7452801
    Abstract: Provided is a metal interconnection structure of a semiconductor device, including a first metal film pattern disposed on an upper part of an insulation film of a semiconductor substrate; an intermetallic dielectric film having a metal contact plug in which a barrier layer, a metal film for contact plug and a second metal film are sequentially disposed, on the first metal film pattern; and a second metal film pattern disposed on the metal contact plug and intermetallic dielectric film and connected to the metal contact plug.
    Type: Grant
    Filed: November 7, 2005
    Date of Patent: November 18, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventors: In Cheol Ryu, Sung-gon Jin
  • Publication number: 20080280446
    Abstract: A microscopic hole is produced in a dielectric layer having a dielectric first material, a first surface and a second surface. In one embodiment, the tapered through-hole is etched from the second surface of the layer to the first surface of the layer. The tapered hole provides a first cross section near the first surface of the dielectric layer and a second cross section near the second surface of dielectric layer. A cladding is deposited at the inner surface of the through-hole. The cladding includes a second material and provides a thickness decreasing from the second surface to the first surface.
    Type: Application
    Filed: May 8, 2007
    Publication date: November 13, 2008
    Applicant: QIMONDA AG
    Inventors: Steffen Mueller, Odo Wunnicke, Henry Bernhardt
  • Publication number: 20080277760
    Abstract: An integrated circuit device includes a substrate with a first layer situated on the substrate. The first layer defines a first opening with a cover layer deposited on the first layer and coating a sidewall portion of the first opening. A second layer is situated on the cover layer. The second layer defines a second opening extending through the second layer and through the cover layer to connect the first and second openings.
    Type: Application
    Filed: May 7, 2007
    Publication date: November 13, 2008
    Applicant: QIMONDA AG
    Inventors: Daniel Kohler, Manfred Engelhardt, Peter Baars, Hans-Peter Sperlich
  • Publication number: 20080272411
    Abstract: A semiconductor device has at least two tensile stressor layers that are cured with UV radiation. A second tensile stressor layer is formed after a first stressor layer. In some examples, the tensile stressor layers include silicon nitride and hydrogen. In some examples, the second tensile stressor layer has a greater shrinkage percentage due to the curing than the first tensile stressor layer. In one form, the second tensile stressor layer after the curing exerts a greater tensile stress than the first tensile stressor layer. The tensile stressors layers are utilized to improve carrier mobility in an N-channel transistor and thus enhance transistor performance. In one form a single group of overlying tensile stressor layers is provided with each layer being increasingly thicker and having increasingly more hydrogen prior to being cured. In other embodiments multiple overlying groups are formed, each group having a similar repeating depth and hydrogen profile.
    Type: Application
    Filed: May 4, 2007
    Publication date: November 6, 2008
    Inventors: Xiangzheng Bo, Tien Ying Luo, Kurt H. Junker, Paul A. Grudowski, Venkat R. Kolagunta
  • Publication number: 20080274621
    Abstract: A III-nitride trench device has a vertical conduction region with an interrupted conduction channel when the device is not on, providing an enhancement mode device. The trench structure may be used in a vertical conduction or horizontal conduction device. A gate dielectric provides improved performance for the device by being capable of withstanding higher electric field or manipulating the charge in the conduction channel. A passivation of the III-nitride material decouples the dielectric from the device to permit lower dielectric constant materials to be used in high power applications.
    Type: Application
    Filed: July 9, 2008
    Publication date: November 6, 2008
    Inventors: Robert Beach, Paul Bridger
  • Publication number: 20080268645
    Abstract: In one embodiment, a method for removing native oxides from a substrate surface is provided which includes supporting a substrate containing silicon oxide within a processing chamber, generating a plasma of reactive species from a gas mixture within the processing chamber, cooling the substrate to a first temperature of less than about 65° C. within the processing chamber, and directing the reactive species to the cooled substrate to react with the silicon oxide thereon while forming a film on the substrate. The film usually contains ammonium hexafluorosilicate. The method further provides positioning the substrate in close proximity to a gas distribution plate, and heating the substrate to a second temperature of about 100° C. or greater within the processing chamber to sublimate or remove the film. The gas mixture may contain ammonia, nitrogen trifluoride, and a carrier gas.
    Type: Application
    Filed: June 6, 2008
    Publication date: October 30, 2008
    Inventors: CHIEN-TEH KAO, Jing-Pei (Connie) Chou, Chiukin (Steven) Lai, Sal Umotoy, Joel M. Huston, Son Trinh, Mei Chang, Xiaoxiong (John) Yuan, Yu Chang, Xinliang Lu, Wei W. Wang, See-Eng Phan
  • Publication number: 20080265337
    Abstract: A semiconductor device fabrication method for forming a gate insulating film of a low leakage transistor and a gate insulating film of a high performance transistor. A first SiON film is formed over a Si substrate through first film formation. The first SiON film is left where the low leakage transistor is to be formed, and is removed where the high performance transistor is to be formed. Through second film formation, a second SiON film is formed where the first SiON film is removed, and a third SiON film including the first SiON film is formed where the first SiON film is left. The formed first SiON film has thickness and nitrogen concentration so that the third SiON film has thickness and nitrogen concentration to be the gate insulting film of the low leakage transistor.
    Type: Application
    Filed: July 9, 2008
    Publication date: October 30, 2008
    Applicant: FUJITSU LIMITED
    Inventor: Hiroshi MINAKATA
  • Publication number: 20080268646
    Abstract: A reduced area dynamic random access memory (DRAM) cell and method for fabricating the same wherein the cell occupies an area smaller than one photolithography pitch by two photolithography pitches through the formation of sidewall spacers along a first pattern to define a first portion of the active region of the memory cell and a second orthogonally oriented pattern to define a second portion of the active region of the memory cell thereby creating a ladder shaped active region for a column of the memory cells.
    Type: Application
    Filed: July 7, 2008
    Publication date: October 30, 2008
    Applicant: ProMOS Technologies PET.LTD.
    Inventors: Douglas Blaine Butler, Chia-Shun Hsiao, Jung-Wu Chien, Chih-Hsun Chu
  • Publication number: 20080265377
    Abstract: A method of forming cavities within a semiconductor device is disclosed. The method comprises depositing an anti-nucleating layer on the interior surface of cavities within an ILD layer of the semiconductor device. This anti-nucleating layer prevents subsequently deposited dielectric layers from forming within the cavities. By preventing the formation of these layers, the capacitance is reduced, thereby resulting in improved semiconductor performance.
    Type: Application
    Filed: April 30, 2007
    Publication date: October 30, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Lawrence A. Clevenger, Matthew E. Colburn, Daniel C. Edelstein, Shom Ponoth, Gregory Breyta
  • Publication number: 20080258268
    Abstract: Disclosed are embodiments of an improved deep trench capacitor structure and memory device that incorporates this deep trench capacitor structure. The deep trench capacitor and memory device embodiments are formed on a semiconductor-on-insulator (SOI) wafer such that the insulator layer remains intact during subsequent deep trench etch processes and, optionally, such that the deep trench of the deep trench capacitor has different shapes and sizes at different depths. By forming the deep trench with different shapes and sizes at different depths the capacitance of the capacitor can be selectively varied and the resistance of the buried conductive strap which connects the capacitor to a transistor in a memory device can be reduced.
    Type: Application
    Filed: April 18, 2007
    Publication date: October 23, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Kangguo Cheng
  • Publication number: 20080261397
    Abstract: There is provided a method for manufacturing a semiconductor device, which includes the steps of: providing a semiconductor substrate including a gate, a source and a drain, wherein the gate includes a gate dielectric layer disposed on the semiconductor substrate; forming an etching barrier layer on the semiconductor substrate; and subjecting the resulted structure to hydrogen annealing. According to the present invention, the interface energy level between a gate dielectric layer and a semiconductor substrate is lowered and the reliability of the semiconductor device is improved.
    Type: Application
    Filed: April 1, 2008
    Publication date: October 23, 2008
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Teyuan Yin, Chipo Liao
  • Publication number: 20080251920
    Abstract: In a film forming sequence for a HDP-CVD oxide film, Ar gas is introduced into a reactive chamber and then source power (or RF power) is applied to excite plasma. After that, a carrier gas (He) is introduced into the reactive chamber. After a semiconductor substrate is heated by plasma of the Ar and He gasses, introduction of the Ar gas is stopped. Subsequently, SiH4 and O2 gasses are simultaneously introduced into the reactive chamber and bias power is applied with ramping. Because the O2 gas is not introduced into the reactive chamber before the beginning of the film formation, oxidization of a W wiring line is suppressed.
    Type: Application
    Filed: July 30, 2007
    Publication date: October 16, 2008
    Inventor: Shigeo Ishikawa
  • Publication number: 20080254630
    Abstract: Method of manufacturing a semiconductor device structure, including the steps of providing a structure having an insulator layer with at least one interconnect, forming a sub lithographic template mask over the insulator layer, and selectively etching the insulator layer through the sub lithographic template mask to form sub lithographic features spanning to a sidewall of the at least one interconnect.
    Type: Application
    Filed: June 16, 2008
    Publication date: October 16, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Daniel C. EDELSTEIN, Matthew E. Colburn, Edward C. Cooney, Timothy J. Dalton, John A. Fitzsimmons, Jeffrey P. Gambino, Elbert E. Huang, Michael W. Lane, Vincent J. McGahay, Lee M. Nicholson, Satyanarayana V. Nitta, Sampath Purushothaman, Sujatha Sankaran, Thomas M. Shaw, Andrew H. Simon, Anthony K. Stamper
  • Publication number: 20080254634
    Abstract: In one example, a photoresist composition includes about 1 to about 70 parts by weight of a first binder resin including a repeat unit represented by the following Chemical Formula 1, about 1 to about 70 parts by weight of a second binder resin including a repeat unit represented by the following Chemical Formula 2, about 0.5 to about 10 parts by weight of a photo-acid generator, about 1 to about 20 parts by weight of a cross-linker and about 10 to about 200 parts by weight of a solvent. The photoresist composition may improve the heat resistance and adhesion ability of a photoresist pattern. wherein R1 and R2 independently represent an alkyl group having 1 to 5 carbon atoms, and n and m independently represent a natural number.
    Type: Application
    Filed: April 11, 2008
    Publication date: October 16, 2008
    Inventors: Jeong-Min Park, Doo-Hee Jung, Hi-Kuk Lee, Hyoc-Min Youn, Ki-Hyuk Koo
  • Patent number: 7435691
    Abstract: A micromechanical component having a silicon substrate; a cavity provided in the substrate; and a diaphragm, provided on the surface of the substrate, which closes the cavity; the diaphragm featuring a silicon-oxide layer having an opening that is formed by silicon-oxide wedges pointing to each other; and the diaphragm having at least one closing layer which closes the opening. Also, a suitable manufacturing method.
    Type: Grant
    Filed: September 7, 2005
    Date of Patent: October 14, 2008
    Assignee: Robert Bosch GmbH
    Inventor: Heribert Weber
  • Publication number: 20080248649
    Abstract: A method and apparatus are described for forming a first inter-layer dielectric (ILD0) stack having a protective gettering layer (72) with a substantially uniform thickness. After forming device components (32, 33) on a substrate (31), a gap fill dielectric layer of SATEOS (52) is deposited over an etch stop layer of PEN ESL (42) and then planarized before sequentially depositing a gettering layer of BPTEOS (72) and capping dielectric layer (82) on the planarized gap fill dielectric layer (52). Once the ILD0 stack is formed, one or more contact openings (92, 94, 96) are etched through the ILD0 stack, thereby exposing the etch stop layer (42) over the intended contact regions.
    Type: Application
    Filed: April 5, 2007
    Publication date: October 9, 2008
    Inventors: Olubunmi O. Adetutu, Christopher B. Hundley, Paul A. Ingersoll, Craig T. Swift
  • Publication number: 20080248650
    Abstract: Disclosed is an etching method for a semiconductor device. The protecting layer, such as the hydrocarbon layer or the hydrocarbon layer containing phosphorous, is formed on the photoresist layer by using the precursor gas containing no fluorine. Therefore, the etching process enabling the thin photoresist to have a high selectivity can be performed, thereby improving the etching efficiency. The method includes the steps of placing a semiconductor substrate in a chamber, in which a material layer is formed on the semiconductor substrate and a photoresist layer is formed on the material layer, forming a hydrocarbon layer on the photoresist layer by introducing precursor gas containing no fluorine into the chamber and etching an etching target material by introducing etching gas into the chamber.
    Type: Application
    Filed: March 27, 2008
    Publication date: October 9, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Dong Yong Sung, Tae-Yong Kwon, Kyung Hyun Han, Kyung Chun Lim, Sang Min Jeong
  • Publication number: 20080237729
    Abstract: Some embodiments of the present invention include selectively inducing back side stress opposite transistor regions to optimize transistor performance.
    Type: Application
    Filed: April 3, 2008
    Publication date: October 2, 2008
    Inventors: Gilroy J. Vandentop, Rajashree Baskaran
  • Publication number: 20080242095
    Abstract: A method for fabricating a trench in a semiconductor device includes forming a mask pattern over a substrate, and etching the substrate to form a trench with a vertical profile, the etching performed at an etching rate of approximately 40 A/sec or less using an etching gas including a gas generating polymers
    Type: Application
    Filed: June 29, 2007
    Publication date: October 2, 2008
    Inventors: Ky-Hyun Han, Dong-Hyun Kim
  • Publication number: 20080242093
    Abstract: Cracks are generated in a resist film part used to form an opening part in a photoreceptor part, whereby etching is performed as far as the inter-layer insulating film in unintended portions. In order to prevent this, the resist pattern used as an etching mask is formed in a shape that disperses the stress. The stress is generated because the resist is hardened by post baking after having been exposed and developed. In order to disperse the stress, the opening part of the resist pattern is formed in a planar shape that has no corners.
    Type: Application
    Filed: March 24, 2008
    Publication date: October 2, 2008
    Applicants: SANYO ELECTRIC CO., LTD., SANYO SEMICONDUCTOR CO., LTD.
    Inventors: Tomohiro Nishiwaki, Kazushige Kaneko, Tetsuya Yamada, Yoji Nomura
  • Publication number: 20080233753
    Abstract: A method of manufacturing a semiconductor device has polishing a film, and cleaning a polished surface by carrying out a first exposing the polished surface to an acidic first cleaning fluid having an effect of etching at least a partial region of the polished surface, and a second exposing the polished surface to an alkaline second cleaning fluid after the first exposing.
    Type: Application
    Filed: March 20, 2008
    Publication date: September 25, 2008
    Applicant: FUJITSU LIMITED
    Inventor: Naoki IDANI
  • Publication number: 20080233709
    Abstract: A method for removing a material from a trench in a semiconductor. The method includes placing the semiconductor in a vacuum chamber, admitting a reactant into the chamber at a pressure to form a film of the reactant on a surface of the material, controlling the composition and residence time of the film on the surface of the material to etch at least a portion of the material, and removing any unwanted reactant and reaction product from the chamber or the surface of the material.
    Type: Application
    Filed: March 22, 2007
    Publication date: September 25, 2008
    Applicants: Infineon Technologies North America Corp., International Business Machines
    Inventors: Richard Anthony Conti, Armin T. Tilke, Chris Stapelmann, Michael R. Sievers
  • Publication number: 20080217747
    Abstract: Semiconductor structures, such as, for example, field effect transistors (FETs) and/or metal-oxide-semiconductor capacitor (MOSCAPs), are provided in which the workfunction of a conductive electrode stack is changed by introducing metal impurities into a metal-containing material layer which, together with a conductive electrode, is present in the electrode stack. The choice of metal impurities depends on whether the electrode is to have an n-type workfunction or a p-type workfunction. The present invention also provides a method of fabricating such semiconductor structures.
    Type: Application
    Filed: May 22, 2008
    Publication date: September 11, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Michael P. Chudzik, Bruce B. Doris, Supratik Guha, Rajarao Jammy, Vijay Narayanan, Vamsi K. Paruchuri, Yun Y. Wang, Keith Kwong Hon Wong
  • Publication number: 20080220613
    Abstract: A method of protecting a polymeric layer from contamination by a photoresist layer. The method includes: (a) forming a polymeric layer over a substrate; (b) forming a non-photoactive protection layer over the polymeric layer; (c) forming a photoresist layer over the protection layer; (d) exposing the photoresist layer to actinic radiation and developing the photoresist layer to form a patterned photoresist layer, thereby exposing regions of the protection layer; (e) etching through the protection layer and the polymeric layer where the protection layer is not protected by the patterned photoresist layer; (f) removing the patterned photoresist layer in a first removal process; and (g) removing the protection layer in a second removal process different from the first removal process.
    Type: Application
    Filed: March 31, 2008
    Publication date: September 11, 2008
    Inventors: Ute Drechsler, Urs T. Duerig, Jane Elizabeth Frommer, Bernd W. Gotsmann, James Lupton Hedrick, Armin W. Knoll, Tobias Kraus, Robert Dennis Miller
  • Publication number: 20080214008
    Abstract: In a method of manufacturing a semiconductor device, a plurality of structures are formed on a substrate, and a coating film is formed over a whole surface of the substrate to cover the plurality of structures. A photoresist layer is formed to have an opening portion above a target structure of the plurality of structures, and the coating film on a side of the opening is etched to expose a part of the target structure by using the photoresist layer as a mask while maintaining the substrate in a state covered with the coating film. Also, a target portion as at least a portion of the target structure is etched while leaving the coating film, and the photoresist layer and the coating film are removed.
    Type: Application
    Filed: December 26, 2007
    Publication date: September 4, 2008
    Applicant: NEC ELECTRONICS CORPORATION
    Inventors: Masashige Moritoki, Masato Fujita