Etching Insulating Layer By Chemical Or Physical Means (epo) Patents (Class 257/E21.249)
  • Publication number: 20090246960
    Abstract: In a method of fabricating a semiconductor device, an additive gas is mixed with an etching gas to reduce a fluorine ratio of the etching gas. The etching gas having a reduced fluorine rate is utilized in the process for etching a nitride layer formed on an oxide layer to prevent the oxide layer formed below the nitride layer from being etched along with the nitride layer. The method comprises primarily etching an exposed charge storage layer using an etching gas; and secondarily etching the charge storage layer using the etching gas under a condition that a ratio of fluorine contained in the etching gas utilized in the secondary etching step is less than a ratio of fluorine contained in the etching gas utilized in the primary etching step. Thus, the tunnel insulating layer formed below the charge storage layer is not damaged when the charge storage layer is patterned.
    Type: Application
    Filed: June 27, 2008
    Publication date: October 1, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventor: Choong Bae KIM
  • Publication number: 20090243105
    Abstract: In semiconductor devices having a copper-based metallization system, bond pads for wire bonding may be formed directly on copper surfaces, which may be covered by an appropriately designed protection layer to avoid unpredictable copper corrosion during the wire bond process. A thickness of the protection layer may be selected such that bonding through the layer may be accomplished, while also ensuring a desired high degree of integrity of the copper surface.
    Type: Application
    Filed: February 5, 2009
    Publication date: October 1, 2009
    Inventors: Matthias Lehr, Frank Kuechenmeister
  • Publication number: 20090239343
    Abstract: This invention includes a capacitorless one transistor DRAM cell that includes a pair of spaced source/drain regions received within semiconductive material. An electrically floating body region is disposed between the source/drain regions within the semiconductive material. A first gate spaced is apart from and capacitively coupled to the body region between the source/drain regions. A pair of opposing conductively interconnected second gates are spaced from and received laterally outward of the first gate. The second gates are spaced from and capacitively coupled to the body region laterally outward of the first gate and between the pair of source/drain regions. Methods of forming lines of capacitorless one transistor DRAM cells are disclosed.
    Type: Application
    Filed: April 29, 2009
    Publication date: September 24, 2009
    Inventor: Fernando Gonzalez
  • Publication number: 20090236609
    Abstract: In a method of making a functionalized graphitic structure, a portion of a multi-layered graphene surface extending from a silicon carbide substrate is exposed to an acidic environment so as to separate graphene layers in a portion of the multi-layered graphene surface. The portion of the multi-layered graphene surface is exposed to a functionalizing material that binds to carbon atoms in the graphene sheets so that the functionalizing material remains between the graphene sheets, thereby generating a functionalized graphitic structure. The functionalized graphitic structure is dried in an inert environment.
    Type: Application
    Filed: September 30, 2008
    Publication date: September 24, 2009
    Applicant: GEORGIA TECH RESEARCH CORPORATION
    Inventors: Walt A. de Heer, Xiaosong Wu, Michael Sprinkle, Claire Berger
  • Publication number: 20090233445
    Abstract: A method for fabricating diamond nanopillars includes forming a diamond film on a substrate, depositing a metal mask layer on the diamond film, and etching the diamond film coated with the metal mask layer to form diamond nanopillars below the mask layer. The method may also comprise forming diamond nuclei on the substrate prior to forming the diamond film. Typically, a semiconductor substrate, an insulating substrate, a metal substrate, or an alloy substrate is used.
    Type: Application
    Filed: March 12, 2008
    Publication date: September 17, 2009
    Applicant: CITY UNIVERSITY OF HONG KONG
    Inventors: Shuit-Tong Lee, Wenjun Zhang, Igor Bello, You-Sheng Zou
  • Publication number: 20090227110
    Abstract: A method of forming a mask pattern provides a resolution below a resolution of a conventional exposure equipment. The method may include a self-align double etching process in which a nipple formed by hard mask layers having different etching selection ratios is utilized, and a micro pattern to be practically obtained is formed by means of the mask pattern. Using conventional exposure equipment, a micro pattern may have a width below a resolution of the conventional exposure equipment.
    Type: Application
    Filed: June 26, 2008
    Publication date: September 10, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Joo Won HWANG
  • Publication number: 20090218556
    Abstract: An integrated circuit includes a first electrode, a second electrode, and dielectric material including an opening. The opening is defined by etching the dielectric material based on an oxidized polysilicon mask formed using a keyhole process. The integrated circuit includes resistivity changing material deposited in the opening and coupled between the first electrode and the second electrode.
    Type: Application
    Filed: February 28, 2008
    Publication date: September 3, 2009
    Inventor: Shoaib Zaidi
  • Publication number: 20090209106
    Abstract: A method of forming a seed layer of an interconnect structure includes forming a dielectric layer; forming an opening in the dielectric layer; performing a first deposition step to form the seed layer; and in-situ performing a first etch step to remove a portion of the seed layer. The method may further includes additional deposition and etch steps for forming the seed layer.
    Type: Application
    Filed: February 14, 2008
    Publication date: August 20, 2009
    Inventors: Li-Lin Su, Cheng-Lin Huang, Shing-Chyang Pan, Ching-Hua Hsieh
  • Publication number: 20090209105
    Abstract: A pattern forming method for forming a pattern serving as a mask, includes a process for forming a first pattern 105, a process for trimming a width of the first pattern 105, a process for forming a boundary layer 106 on a surface of the first pattern 105, a process for forming a second mask material layer 107 on a surface of the boundary layer 106, a process for removing a part of the second mask material layer 107 to expose top portions of the boundary layer 106, and a process for exposing the first pattern 105 and forming a second pattern having the second mask material layer 107 at a top portion thereof by etching the boundary layer 106.
    Type: Application
    Filed: February 13, 2009
    Publication date: August 20, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hidetami Yaegashi, Satoru Shimura, Takashi Hayakawa
  • Publication number: 20090206432
    Abstract: An image sensor and a method of manufacturing the same are provided. The image sensor includes a substrate having a sensor array area and a peripheral circuit area a first insulating film structure formed on the peripheral circuit area and including a plurality of first multi-layer wiring lines and a second insulating film structure formed on the sensor array area and including a plurality of second multi-layer wiring lines. The uppermost-layer wiring line of the plurality of first multi-layer wiring lines is higher than that of the uppermost-layer wiring line of the plurality of second multi-layer wiring lines. The first insulating film structure includes an isotropic etch-stop layer, and the second insulating film structure does not include the isotropic etch-stop layer.
    Type: Application
    Filed: November 7, 2008
    Publication date: August 20, 2009
    Inventors: Hong-Ki KIM, Duck-Hyung LEE, Hyun-Pil NOH
  • Publication number: 20090200646
    Abstract: Methods for fabricating sublithographic, nanoscale microstructures in one-dimensional arrays utilizing self-assembling block copolymers, and films and devices formed from these methods are provided.
    Type: Application
    Filed: February 13, 2008
    Publication date: August 13, 2009
    Inventors: Dan B. Millward, Karl Stuen
  • Patent number: 7572734
    Abstract: The etch depth during trench over via etch of a dual damascene structure in a dielectric film stack is controlled to be the same over the dense area and the open area of a substrate and solve micro-loading problems. The trench etch process is adapted to include a forward micro-loading etching process and a reverse micro-loading etching process using two etch chemistries together with the inclusion of a dopant material layer or an organic fill material layer during the deposition of the dielectric film stack. In one embodiment, etching of trenches over vias is switched from forward micro-loading to reverse micro-loading once etching of the dielectric film stack is reached at a predetermined location of a dopant material layer. In another embodiment, etching of an organic trench filling material layer is performed in a reverse micro-loading process followed by etching the dielectric film stack in a forward micro-loading process.
    Type: Grant
    Filed: October 24, 2007
    Date of Patent: August 11, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Mehul Naik, Suketu A. Parikh, Michael D. Armacost
  • Publication number: 20090197417
    Abstract: A method for forming spacers of different sizes includes the following steps. First a substrate is provided, which has a first element, a second element, a first material layer and a second material layer thereon. A first dry etching is performed to remove part of the second material layer to form a first spacer by the first element and to form a second side wall by the second element, so that the first material layer between the first spacer and the second side wall is exposed to become a damaged first material layer. A trimming procedure is performed to trim the damaged first material layer. A mask is used to cover the first element, the first spacer and part of the first material layer then a wet etching is performed to remove the second side wall.
    Type: Application
    Filed: February 4, 2008
    Publication date: August 6, 2009
    Inventors: Chia-Ho Liu, Chieh-Yu Tsai, Wei-Chen Lin, Chia-Ying Lin
  • Publication number: 20090191713
    Abstract: Provided is a method of forming a fine pattern using a block copolymer. The method comprises forming a coating layer including a block copolymer having a plurality of repeating units on a substrate. A mold is provided having a first pattern comprising a plurality of ridges and valleys. The first pattern is transferred from the mold into the coating layer. Then, a self-assembly structure is formed comprising a plurality of polymer blocks aligned in a direction guided by the ridges and valleys of the mold thereby rearranging the repeating units of the block copolymer within the coating layer by phase separation while the coating layer is located within the valleys of the mold. A portion of the polymer blocks are removed from among the plurality of polymer blocks and a self-assembly fine pattern of remaining polymer blocks is formed.
    Type: Application
    Filed: September 22, 2008
    Publication date: July 30, 2009
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Dong-Ki YOON, Hyun-Woo KIM, Shi-Yong YI, Hai-Sub NA, Kyoung-Taek KIM, Yun-Kyeong JANG
  • Publication number: 20090189280
    Abstract: In one embodiment, a method of forming a semiconductor device is disclosed. A high-k dielectric is deposited of over a semiconductor body, and a portion of the high-k dielectric is wet etched an etchant selected from the group consisting of hot phos, piranha, and SC1.
    Type: Application
    Filed: January 30, 2008
    Publication date: July 30, 2009
    Inventors: Daniel Pak-Chum Shum, Alfred Vater, John Power, Wolfram Langheinrich, Ulrike Bewersdorff-Sarlette
  • Publication number: 20090189258
    Abstract: A method for fabricating an integrated circuit including forming a first trench in a rear side of a semiconductor wafer, wherein the first trench has a depth extending partially through a thickness of the semiconductor wafer, coating the rear side with a layer of coating material, including filling the first trench with the coating material, and forming a second trench in a front side of the semiconductor wafer, wherein the second trench is aligned with and has a width less than a width of the first trench, and wherein the second trench has a depth extending at least through a remaining portion of the semiconductor wafer so as to be in communication with the coating material filling the first trench.
    Type: Application
    Filed: January 29, 2008
    Publication date: July 30, 2009
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Franco Mariani, Werner Kroeninger
  • Publication number: 20090184310
    Abstract: Memory cells are described along with methods for manufacturing. A memory cell described herein includes a bottom electrode comprising a base portion and a pillar portion on the base portion, the pillar portion having a top surface and a width less than that of the base portion. A memory element is on the top surface of the pillar portion and comprises memory material having at least two solid phases. A top electrode is on the memory element.
    Type: Application
    Filed: January 18, 2008
    Publication date: July 23, 2009
    Applicant: Macronix International Co., Ltd.
    Inventor: HSIANG-LAN LUNG
  • Publication number: 20090181543
    Abstract: In a method of forming patterns of a semiconductor device, a to-be-etched layer is formed on a semiconductor substrate. First etch mask patterns are formed over the to-be-etched layer. An auxiliary layer is formed on the first etch mask patterns and the to-be-etched layer. The auxiliary layer is thicker on upper sidewalls of the first etch mask patterns than on lower sidewalls thereof. Second etch mask patterns are formed in concave portions of the auxiliary layer. The auxiliary layer between the first and second etch mask patterns is removed. The to-be-etched layer is patterned using the first and second etch mask patterns as an etch mask.
    Type: Application
    Filed: June 3, 2008
    Publication date: July 16, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventor: Sung Min JEON
  • Publication number: 20090181544
    Abstract: A method of forming a TEOS oxide layer over an nitrogen doped silicon carbide or nitrogen doped hydrogenated silicon carbide layer formed on a substrate. The method includes forming the nitrogen doped silicon carbide or nitrogen doped hydrogenated silicon carbide layer on a top surface and a top side beveled edge proximate to the top surface of a substrate; removing or preventing formation of a carbon-rich layer on a bottom side bevel edge region proximate to a bottom surface of the substrate or converting the carbon-rich layer to nitrogen doped silicon carbide or nitrogen doped hydrogenated silicon carbide; and forming the TEOS oxide layer on the top surface, the top side beveled edge and the bottom side bevel edge region of the substrate.
    Type: Application
    Filed: January 11, 2008
    Publication date: July 16, 2009
    Inventors: Chester T. Dziobkowski, Thomas F. Houghton, Emily Kinser, Darryl D. Restaino, Yun-Yu Wang
  • Publication number: 20090176374
    Abstract: A pattern forming method includes (a) forming pairs of deposits on sidewalls of mask portions in first mask patterns by forming a thin film thereon, etching it to leave deposits, and exposing a top surface of a second-layer film between the deposits; (b) forming second mask patterns formed of mask portions corresponding to the deposits by removing the mask portion, plasma etching the second-layer film, and removing the deposits; (c) forming a thin film thereon, and etching it to leave deposits on sidewalls of mask portions facing each other and to expose a third-layer film between the deposits while leaving deposits between adjacent mask portions; and (d) forming grooves thereon by removing the second mask portion, and etching off the third-layer film.
    Type: Application
    Filed: December 24, 2008
    Publication date: July 9, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Akitake TAMURA, Teruyuki Hayashi, Kaoru Fujihara
  • Publication number: 20090170330
    Abstract: In a method of forming micro patterns of a semiconductor device, first etch mask patterns are formed over a semiconductor substrate. An auxiliary film is formed over the semiconductor substrate including a surface of the first etch mask patterns. Second etch mask patterns are formed between the auxiliary films formed on sidewalls of the first etch mask patterns. The first etch mask patterns and the second etch mask patterns are formed using the same material. The auxiliary films between the first and second etch mask patterns are removed. Accordingly, more micro patterns can be formed than allowed by the resolution limit of an exposure apparatus while preventing misalignment.
    Type: Application
    Filed: March 25, 2008
    Publication date: July 2, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventor: Woo Yung JUNG
  • Publication number: 20090166695
    Abstract: A method for manufacturing an image sensor having a peripheral circuit unit and a pixel unit includes forming a device isolation layer that defines an active area in the pixel area, on a semiconductor substrate, forming a gate pattern on the active area of the semiconductor substrate, forming a photodiode area at one side of the gate pattern in the semiconductor substrate, vapor-depositing a plurality of dielectric layers on the whole surface of the substrate including the gate pattern, forming a spacer at lateral sides of the gate pattern by removing part of the plurality of dielectric layers by dry etching, and removing the other dielectric layer disposed between the lowermost dielectric layer and the uppermost dielectric layer by wet etching, while leaving a lowermost dielectric layer among the plurality of dielectric layers on the substrate where a floating diffusion area will be formed.
    Type: Application
    Filed: December 28, 2008
    Publication date: July 2, 2009
    Inventor: Seoung-Hyun Kim
  • Publication number: 20090163028
    Abstract: A method for fabricating a semiconductor device includes forming an organic bottom anti-reflective coating over an etch target layer, forming a photoresist pattern over the organic bottom anti-reflective coating, and etching the organic bottom anti-reflective coating using a sulfur-containing gas.
    Type: Application
    Filed: June 27, 2008
    Publication date: June 25, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventor: Tae-Woo JUNG
  • Publication number: 20090163027
    Abstract: A method for fabricating a vertical channel transistor in a semiconductor device includes forming a plurality of pillars arranged in a first direction and a second direction crossing the first direction over a substrate, wherein each of the pillars includes a hard mask pattern thereon, forming a bit line region in the substrate between the pillars, forming a first sidewall insulation layer on a sidewall of each of the pillars, forming an insulation layer for filling a space between the pillars, forming a mask pattern for exposing the substrate between lines of the pillars arranged in the first direction over a resulting structure including the insulation layer, etching the insulation layer and the substrate using the mask pattern as an etch barrier to form a trench for defining a bit line in the substrate, and forming a second sidewall insulation layer over a resulting structure including the trench.
    Type: Application
    Filed: June 30, 2008
    Publication date: June 25, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Hong-Gu YI
  • Publication number: 20090163030
    Abstract: A first silicon containing film, an organic material film, a second silicon containing film are formed. The second silicon containing film is patterned to have a narrow width pattern and a wide width pattern. The organic material film is patterned to have a narrow width pattern and a wide width pattern. A side wall is formed on a side surface of the second silicon containing film and the organic material film by coating with a third silicon containing film. The narrow width pattern of the second silicon containing film is removed by using a mask that covers the second silicon containing film patterned to have a wide width pattern and the side wall. Finally, the organic material film is removed.
    Type: Application
    Filed: December 16, 2008
    Publication date: June 25, 2009
    Inventors: Mitsuhiro OMURA, Keisuke Kikutani, Yutaka Okamoto
  • Patent number: 7550396
    Abstract: By performing a plasma treatment for efficiently sealing the surface of a stressed dielectric layer containing silicon nitride, an enhanced performance during the patterning of contact openings may be achieved, since nitrogen-induced resist poisoning may be significantly reduced during the selective patterning of stressed layers of different types of intrinsic stress.
    Type: Grant
    Filed: May 1, 2007
    Date of Patent: June 23, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kai Frohberg, Volker Grimm, Sven Mueller, Matthias Lehr, Ralf Richter, Jochen Klais, Martin Mazur, Heike Salz, Joerg Hohage, Matthias Schaller
  • Publication number: 20090155968
    Abstract: In a method of forming a dielectric layer pattern, lower patterns are formed on a substrate. A first dielectric layer is formed on sidewalls and upper surfaces of the lower patterns and a surface of the substrate. A mask pattern is formed on the first dielectric layer to partially expose the first dielectric layer. The exposed first dielectric layer on upper surfaces and upper sidewalls of the lower patterns is partially removed and the removed first dielectric layer is deposited on surfaces of the first dielectric layer between the lower patterns, to form a second dielectric layer having a thickness greater than that of the first dielectric layer. The second dielectric layer on the sidewalls of the lower patterns and the substrate is etched to form a dielectric layer pattern. Accordingly, damage to the underlying layer may be reduced, and an unnecessary dielectric layer may be completely removed.
    Type: Application
    Filed: December 17, 2008
    Publication date: June 18, 2009
    Inventors: Jae-Ho Min, Dong-Hyun Kim
  • Publication number: 20090152550
    Abstract: An object is to provide a semiconductor device including a microcrystalline semiconductor film with favorable quality and a method for manufacturing the semiconductor device. In a thin film transistor formed using a microcrystalline semiconductor film, yttria-stabilized zirconia having a fluorite structure is formed in the uppermost layer of a gate insulating film in order to improve quality of a microcrystalline semiconductor film to be formed in the initial stage of deposition. The microcrystalline semiconductor film is deposited on the yttria-stabilized zirconia, so that the microcrystalline semiconductor film around an interface with a base particularly has favorable crystallinity while by crystallinity of the base.
    Type: Application
    Filed: December 15, 2008
    Publication date: June 18, 2009
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Hideto Ohnuma, Takashi Hirose
  • Publication number: 20090146192
    Abstract: A MOS transistor is formed with a dual-layer silicon oxynitride (SiON) etch stop film that protects the transistor from plasma induced damage (PID) and hot carrier degradation, thereby improving the reliability of the transistors. The first SiON layer is formed with SiH4 at a first flow rate, and the second SiON layer is formed with SiH4 at a second higher flow rate.
    Type: Application
    Filed: December 10, 2007
    Publication date: June 11, 2009
    Inventors: Douglas Brisbin, Prasad Chaparala, Denis Finbarr O'Connell, Heather McCulloh, Sergei Drizlikh
  • Publication number: 20090149026
    Abstract: Methods are disclosed, such as those involving increasing the density of isolated features in an integrated circuit. In one or more embodiments, a method is provided for forming an integrated circuit with a pattern of isolated features having a final density of isolated features that is greater than a starting density of isolated features in the integrated circuit by a multiple of two or more. The method can include forming a pattern of pillars having a density X, and forming a pattern of holes amongst the pillars, the holes having a density at least X. The pillars can be selectively removed to form a pattern of holes having a density at least 2X. In some embodiments, plugs can be formed in the pattern of holes, such as by epitaxial deposition on the substrate, in order to provide a pattern of pillars having a density 2X. In other embodiments, the pattern of holes can be transferred to the substrate by etching.
    Type: Application
    Filed: December 6, 2007
    Publication date: June 11, 2009
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Baosuo Zhou, Gurtej S. Sandhu, Ardavan Niroomand
  • Publication number: 20090149027
    Abstract: Embodiments of the invention relate to a method of fabricating an integrated circuit, including etching of a layer that includes a high k material in the form of a metal oxide composition, wherein an etchant is used that includes a silicon halogen composition.
    Type: Application
    Filed: December 10, 2007
    Publication date: June 11, 2009
    Inventors: Daniel Koehler, Johannes Heitmann, Michael Obert
  • Publication number: 20090146221
    Abstract: Method of patterning a semiconductor structure is disclosed. The method involves crystallographic etching techniques to enhance a patterned monocrystalline layer as a hard mask. In one embodiment, the method includes bonding a monocrystalline silicon layer to a non-crystalline protective layer; patterning the monocrystalline layer to form a hard mask; enhancing the pattern of the hard mask; stripping the hard mask after conventional etching of protective layer; and forming a gate oxide thereon. The enhanced patterning of the hard mask is performed with crystallographic etching to replace optical effects of rounding and dimension narrowing at the ends of a defined region with straight edges and sharp corners. A resulting structure from the use of the enhanced patterned hard mask includes a layer of composite materials on the substrate of the semiconductor structure. The layer of composite materials includes different materials in discrete blocks defined by straight edges within the layer.
    Type: Application
    Filed: December 5, 2007
    Publication date: June 11, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Thomas W. Dyer, James J. Toomey
  • Publication number: 20090140348
    Abstract: By providing a protection layer for suppressing stress relaxation in a tensile-stressed dielectric material during a dual stress liner approach, performance of N-channel transistors may be increased, while nevertheless maintaining a high degree of compatibility with conventional dual stress liner approaches.
    Type: Application
    Filed: June 2, 2008
    Publication date: June 4, 2009
    Inventors: Kai Frohberg, Frank Feustel, Thomas Werner, Uwe Griebenow
  • Publication number: 20090142928
    Abstract: A manufacturing method for a semiconductor device simplifies a process for forming an oxide film of a high-voltage device, thereby reducing the manufacturing costs and manufacturing time of the high-voltage device. The manufacturing method includes applying a gate oxide material over a semiconductor wafer, applying a photoresist material over the gate oxide material, performing an exposure process and a primary development process on the photoresist material to form a photoresist pattern, performing an etching process using the photoresist pattern to form a gate oxide film, and performing a secondary development process to remove the photoresist pattern.
    Type: Application
    Filed: November 29, 2008
    Publication date: June 4, 2009
    Inventor: Rae-Hyuk Lee
  • Publication number: 20090140398
    Abstract: In a method for forming hard mask patterns of a semiconductor device first hard mask patterns are formed on a semiconductor substrate. Second hard mask patterns are formed and include first patterns which are substantially perpendicular to the first hard mask patterns and second patterns which are positioned between the first hard mask patterns. Third hard mask patterns are formed between the first patterns.
    Type: Application
    Filed: March 24, 2008
    Publication date: June 4, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventor: Woo Yung JUNG
  • Publication number: 20090142927
    Abstract: A small critical dimension element, such as a heater for an ovonic unified memory, may be formed within a pore by using successive sidewall spacers. The use of at least two successive spacers enables the limitations imposed by lithography and the limitations imposed by bread loafing to be overcome to provide reduced critical dimension elements.
    Type: Application
    Filed: October 6, 2008
    Publication date: June 4, 2009
    Inventors: Ming Jin, Ilya V. Karpov, Jinwook Lee, Narahari Ramanuja
  • Publication number: 20090137126
    Abstract: A sacrificial layer and wet etch are used to form a sidewall spacer so as to prevent damage to the structure on which the spacer is formed and to the underlying substrate as well. Once the structure is formed on the substrate a spacer formation layer is formed to cover the structure, and a sacrificial layer is formed on the spacer formation layer. The sacrificial layer is wet etched to form a sacrificial layer pattern on that portion of the spacer formation layer extending along a sidewall of the structure. The spacer is formed on the sidewall of the structure by wet etching the spacer formation layer using the sacrificial layer pattern as a mask.
    Type: Application
    Filed: November 25, 2008
    Publication date: May 28, 2009
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Yu-Kyung Kim, Kun-Tack Lee, Woo-Gwan Shim, Chang-Ki Hong
  • Publication number: 20090130853
    Abstract: The invention provides a method for forming a deep trench in a substrate. A sacrificial layer and a liner layer are first used to define the deep trench pattern. The sacrificial layer is then replaced with a silicon glass layer. A thick mask layer includes the silicon glass layer, the liner layer and a silicon nitride layer is formed on the substrate. Through an opening of the thick mask layer, a deep trench is etched into the substrate.
    Type: Application
    Filed: February 22, 2008
    Publication date: May 21, 2009
    Inventors: Chung-Yen Chou, Hai-Han Hung, Teng-Wang Huang, Shin-Yu Nieh
  • Publication number: 20090130850
    Abstract: A method of fabricating a semiconductor device is provided. A contact hole with a finer width can be formed by solving an exposure limit of KrF exposure apparatuses. The fabrication method includes forming a first insulation layer on a substrate; forming a photoresist pattern on the first insulation layer; forming a second insulation layer covering the photoresist pattern; forming a second insulation layer spacer in a sidewall of the photoresist pattern by etching the second insulation layer; forming a contact hole by etching the first insulation layer using the photoresist pattern and the second insulation layer spacer as a mask; removing the photoresist pattern; and removing the second insulation layer spacer.
    Type: Application
    Filed: November 5, 2008
    Publication date: May 21, 2009
    Inventor: Kang Hyun LEE
  • Publication number: 20090130854
    Abstract: Methods for forming a pattern layer over a target layer are disclosed. The methods use a novel low temperature spacer structure which results in a pattern layer having a decreased pattern pitch versus conventional patterning using photolithography. The decreased pattern pitch allows the target layer to be divided into multiple regions separated by a small distance, which in turn allows for greater density and device miniaturization. The structure and methods may be applied to patterning a word line layer in a memory device.
    Type: Application
    Filed: November 21, 2007
    Publication date: May 21, 2009
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventor: Chi-Pin LU
  • Publication number: 20090124086
    Abstract: A method of fabricating a flash memory device, in which a pre-metal dielectric layer, a hard mask layer, and a first etch mask pattern are sequentially formed over a semiconductor substrate; an auxiliary layer is formed along a surface of the first etch mask pattern and the hard mask layer; and an etch mask layer is formed on the auxiliary layer to gap-fill between adjacent first etch mask pattern elements. The etch mask layer is etched to form a second etch mask pattern between adjacent first etch mask pattern elements. The auxiliary layer between the first and second etch mask patterns is removed; and a hard mask pattern is formed by etching the hard mask layer between the first etch mask pattern and the second etch mask pattern. The pre-metal dielectric layer is etched process using the hard mask pattern as a mask to form contact holes.
    Type: Application
    Filed: June 26, 2008
    Publication date: May 14, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Woo Yung Jung
  • Publication number: 20090124085
    Abstract: The present invention discloses a method for forming a semiconductor device. The method includes providing a substrate; forming at least one first opening in the substrate to a predetermined depth and exposing a sidewall of the substrate in the first opening; forming a spacer on the sidewall and exposing a portion of the substrate in the bottom of the first opening; etching the exposed substrate in the bottom of the first opening by using the spacer as a mask to form a second opening; forming an isolation layer in the second opening and a portion of the first opening; forming a gate dielectric layer on the surface of the substrate; and forming a conductive layer covering the substrate.
    Type: Application
    Filed: January 24, 2008
    Publication date: May 14, 2009
    Applicant: NANYA TECHNOLOGY CORP.
    Inventors: Hung-Ming TSAI, Ying Cheng CHUANG
  • Publication number: 20090117364
    Abstract: The present invention improves mechanical strength of a micro-electro-mechanical device (MEMS) having a movable portion to improve reliability. In a micro-electro-mechanical device (MEMS) having a movable portion, a portion which has been a hollow portion in the case of a conventional structure is filled with a filler material. As the filler material, a block copolymer that is highly flexible is used, for example. By filling the hollow portion, mechanical strength improves. Besides, warpage of an upper portion of a structure body in the manufacture process is prevented, whereby yield improves. A micro-electro-mechanical device thus manufactured is highly reliable.
    Type: Application
    Filed: November 5, 2008
    Publication date: May 7, 2009
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Kaoru Tsuchiya, Takafumi Mizoguchi
  • Publication number: 20090117745
    Abstract: Methods for etching a dielectric barrier layer with high selectivity to a dielectric bulk insulating layer and/or a hardmask layer in a dual damascene structure are provided. In one embodiment, the method includes providing a substrate having a portion of a dielectric barrier layer exposed through a dielectric bulk insulating layer in an etch reactor, flowing a gas mixture containing SiF4 gas into the reactor, and etching the exposed portion of the dielectric barrier layer selectively to the dielectric bulk insulating layer using a plasma formed from the gas mixture.
    Type: Application
    Filed: November 2, 2007
    Publication date: May 7, 2009
    Inventors: SIYI LI, Michael Armacost
  • Publication number: 20090115064
    Abstract: Methods are disclosed, such as those involving increasing the density of isolated features in an integrated circuit. Also disclosed are structures associated with the methods. In one or more embodiments, contacts are formed on pitch with other structures, such as conductive interconnects. The interconnects may be formed by pitch multiplication. To form the contacts, in some embodiments, a pattern corresponding to some of the contacts is formed in a selectively definable material such as photoresist. The features in the selectively definable material are trimmed to desired dimensions. Spacer material is blanket deposited over the features in the selectively definable material and the deposited material is then etched to leave spacers on sides of the features. The selectively definable material is removed to leave a mask defined by the spacer material. The pattern defined by the spacer material may be transferred to a substrate, to form on pitch contacts.
    Type: Application
    Filed: November 1, 2007
    Publication date: May 7, 2009
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Gurtej Sandhu, Mark Kiehlbauch, Steve Kramer, John Smythe
  • Publication number: 20090117744
    Abstract: A method of forming an ion implantation mask includes forming a field area on a semiconductor substrate, forming an amorphous carbon layer on the semiconductor substrate, forming a hard mask layer on the amorphous carbon layer, forming an etching mask pattern on the hard mask layer, and etching the hard mask layer and the amorphous carbon layer to expose the field area through the etching mask pattern, wherein etching the hard mask layer and the amorphous carbon layer forms a hard mask layer pattern and an amorphous carbon layer pattern.
    Type: Application
    Filed: October 31, 2008
    Publication date: May 7, 2009
    Inventors: Yong-Woo Lee, Young-Mi Lee, Min-Chul Chae, Dae-Joung Kim, Jae-Seung Hwang
  • Patent number: 7528074
    Abstract: During etching of a contact hole, not only the energy of ion irradiation but also the gas composition are altered to change the etching from a high-rate etching to a low-rate etching, thereby reducing the damage. In the low-rate etching where the gas composition is also altered, a firm fluorocarbon film is formed on the bottom of the contact hole, and the etching can be carried out while protecting the silicon surface. Consequently, inactivation of the impurities doped in the silicon surface can be prevented.
    Type: Grant
    Filed: February 2, 2005
    Date of Patent: May 5, 2009
    Assignee: Foundation for Advancement of International Science
    Inventors: Tadahiro Ohmi, Tetsuya Goto
  • Patent number: 7528027
    Abstract: An SOI CMOS structure includes a v-shape trench in a pFet region. The v-shape trench has a surface in a (111) plane and extends into an SOI layer in the pFet region. A layer, such as a gate oxide or high-k material, is formed in the v-shape trench. Poly-Si is deposited on top of the layer.
    Type: Grant
    Filed: March 25, 2008
    Date of Patent: May 5, 2009
    Assignee: International Business Machines Corporation
    Inventors: Huilong Zhu, Mahender Kumar, Dan M. Mocuta, Ravikumar Ramachandran, Wenjuan Zhu
  • Publication number: 20090111271
    Abstract: Methods for isotropically etching a monocrystalline silicon wafer. An example method includes applying a layer of material at least one of onto a first side or into a first side of the monocrystalline silicon wafer and isotropically etching a non-linear pit into the monocrystalline silicon wafer using an anisotropic etchant. The applied layer of material has a faster etch rate than the monocrystalline silicon wafer.
    Type: Application
    Filed: October 26, 2007
    Publication date: April 30, 2009
    Applicant: HONEYWELL INTERNATIONAL INC.
    Inventor: John S. Starzynski
  • Publication number: 20090111272
    Abstract: A method of forming a strain-causing layer for MOS transistors is provided, which is applied to a substrate having a plurality of gate structures of the MOS transistors thereon. A non-conformal stressed film that is thicker on the gate structures than between the gate structures is formed over the substrate. The non-conformal stressed film is then etched, without an etching mask thereon, to remove portions thereof between the gate structures and disconnect the stressed film between the gate structures. At least one extra stressed film may be further formed over the substrate, wherein each extra stressed film has the same type of stress as the above stressed film and is connected or disconnected between the gate structures.
    Type: Application
    Filed: October 29, 2007
    Publication date: April 30, 2009
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Huo-Tieh Lu, Jin-sheng Yang, Pei-Lin Kuo