Etching Insulating Layer By Chemical Or Physical Means (epo) Patents (Class 257/E21.249)
  • Publication number: 20080214010
    Abstract: According to the present invention, there is provided a semiconductor device fabrication method comprising, bringing a mold having a predetermined pattern into contact with at least a portion of an imprinting material formed on a substrate to be processed, and forming the pattern on the substrate to be processed by sequentially transferring the pattern for each shot, wherein one of a dicing region and a monitor pattern formation region of the substrate to be processed is coated with the imprinting material.
    Type: Application
    Filed: January 23, 2008
    Publication date: September 4, 2008
    Inventors: Ikuo YONEDA, Shunko MAGOSHI
  • Publication number: 20080213934
    Abstract: A process for manufacturing an integrated device includes the steps of: providing a silicon substrate on which a silicon dioxide structure is arranged; and forming a trench having first and second essentially vertical walls relative to the substrate in the structure by means of anisotropic-type etching. A concavity having a sloped wall relative to the substrate is formed by isotropic-type etching which removes the second wall so that the concavity is open to the trench and the sloped wall faces the first wall.
    Type: Application
    Filed: January 16, 2008
    Publication date: September 4, 2008
    Applicant: STMICROELECTRONICS S.R.L.
    Inventors: Francesco Martini, Maurizio Lenzi, Ubaldo Mastromatteo
  • Publication number: 20080214009
    Abstract: Methods of forming a recess structure having a gentle curvature are provided. Such methods include forming a hard mask on a substrate, forming a first preliminary recess on the substrate using the hard mask as an etching mask and forming a spacer on a sidewall of the first preliminary recess. Methods may include forming a second preliminary recess from the first preliminary recess using the spacer as an etching mask and forming the recess structure having an enlarged lower portion from the second preliminary recess using the spacer as an etching mask.
    Type: Application
    Filed: January 28, 2008
    Publication date: September 4, 2008
    Inventors: Chi-Hoon Lee, Jong-Chul Park, Tae-Woo Lee, Tae-Woo Kang, Jang-Bin Yim
  • Publication number: 20080207000
    Abstract: A substrate has thereon a conductive region to be partially exposed by the contact hole, a contact etch stop layer overlying the substrate and covering the conductive region, and an inter-layer dielectric (ILD) layer on the contact etch stop layer. A photoresist pattern is formed on the ILD layer. The photoresist pattern has an opening directly above the conductive region. Using the photoresist pattern as an etch hard mask and the contact etch stop layer as an etch stop, an anisotropic dry etching process is performed to etch the ILD layer through the opening, thereby forming an upper hole region. The photoresist pattern is removed. An isotropic dry etching process is performed to dry etching the contact etch stop layer selective to the ILD layer through the upper hole region, thereby forming a widened, lower contact bottom that exposes an increased surface area of underlying conductive region.
    Type: Application
    Filed: May 8, 2008
    Publication date: August 28, 2008
    Inventors: Pei-Yu Chou, Jiunn-Hsiung Liao
  • Publication number: 20080206999
    Abstract: A wet etching method that includes forming an insulating film on a substrate, and irradiating laser light to the insulating film during wet etching of the insulating film using an etching solution.
    Type: Application
    Filed: February 22, 2008
    Publication date: August 28, 2008
    Applicant: FUJITSU LIMITED
    Inventors: Tadahiro IMADA, Yoshihiro NAKATA, Koji NOZAKI
  • Publication number: 20080206997
    Abstract: A method for manufacturing an insulating film, by which the insulating film can be formed of a non-photosensitive siloxane resin and formed into a desired shape by wet etching. A thin film is formed with a suspension in which a siloxane resin or a siloxane-based material is included in an organic solvent; a first heat treatment is performed on the thin film; a mask is formed over the thin film after the first heat treatment; wet etching with an organic solvent is performed to process the shape of the thin film after the first heat treatment; and a second heat treatment is performed on the processed thin film.
    Type: Application
    Filed: February 11, 2008
    Publication date: August 28, 2008
    Inventor: Teruyuki Fujii
  • Publication number: 20080203523
    Abstract: Disclosed herein are embodiments of a semiconductor structure and an associated method of forming the semiconductor structure with shallow trench isolation structures having selectively adjusted reflectance and absorption characteristics in order to ensure uniform temperature changes across a wafer during a rapid thermal anneal and, thereby, limit variations in device performance. Also disclosed are embodiments of another semiconductor structure and an associated method of forming the semiconductor structure with devices having selectively adjusted reflectance and absorption characteristics in order to either selectively vary the performance of individual devices (e.g., to form devices with different threshold voltages (Vt) on the same wafer) and/or to selectively optimize the anneal temperature of individual devices (e.g., to ensure optimal activation temperatures for n-type and p-type dopants during anneals).
    Type: Application
    Filed: February 26, 2007
    Publication date: August 28, 2008
    Inventors: Brent A. Anderson, Edward J. Nowak
  • Publication number: 20080200034
    Abstract: A method to fabricate interconnect structures that are part of integrated circuits and microelectronic devices by utilization of an irradiation to remove and clean a sacrificial material used therein is described. The advantages of utilizing the irradiation to remove the sacrificial material include reduced damage to interlayer dielectric layers that result in enhanced device performance and/or increased reliability.
    Type: Application
    Filed: February 21, 2007
    Publication date: August 21, 2008
    Inventors: Qinghuang Lin, Elbert E. Huang, Christy S. Tyberg, Ronald A. DellaGuardia
  • Publication number: 20080200029
    Abstract: Provided is a method of fabricating a microstructure, and more specifically, a method of fabricating a structure of a Micro Electro Mechanical System (MEMS), which includes the step of applying and patterning a material for the sacrificial layer on a silicon substrate, and forming a post with the same material as the sacrificial layer material, so that a stiction problem can be prevented in advance at the time of fabricating the microstructure, only one process needs to be added to simplify fabrication of a post, and the sacrificial layer can be formed in a desired shape because a photoresist is used as the sacrificial layer material.
    Type: Application
    Filed: December 10, 2007
    Publication date: August 21, 2008
    Applicant: SUNGKYUNKWAN UNIVERSITY
    Inventors: Joontae Song, Hyunsuk Hwang
  • Publication number: 20080197394
    Abstract: A method of manufacturing semiconductor structures is disclosed. In one embodiment, a first mask is provided above a substrate. The first mask includes first mask lines extending along a first axis. A second mask is provided above the first mask. The second mask includes second mask lines extending along a second axis that intersects the first axis. At least one of the first and second masks is formed by a pitch fragmentation method. Structures may be formed in the substrate, wherein the first and the second mask are effective as a combined mask. The structures may be equally spaced at a pitch in the range of a minimum lithographic feature size for repetitive line structures.
    Type: Application
    Filed: February 20, 2007
    Publication date: August 21, 2008
    Applicant: QIMONDA AG
    Inventors: Dirk Caspary, Arnd Scholz, Stefano Parascandola, Christoph Noelscher
  • Publication number: 20080200035
    Abstract: A method of forming a contact hole of a semiconductor device is disclosed. At the time of a hard mask formation process for forming a contact hole of a semiconductor device, first patterns are formed using a photoresist pattern employing an exposure process. Spacers having a predetermined thickness are formed on sidewalls of the first patterns using an amorphous carbon layer. Spaces between the first patterns including the spacers are gap filled to form second patterns. Accordingly, a contact hole having a pitch with exposure equipment resolution or less can be formed.
    Type: Application
    Filed: December 6, 2007
    Publication date: August 21, 2008
    Applicant: Hynix Semiconductor Inc.
    Inventor: Woo-Yung JUNG
  • Publication number: 20080194109
    Abstract: A method of fabricating a semiconductor device includes the steps of: depositing on a main surface of a semiconductor substrate a layer to be processed; depositing a base layer on the layer to be processed; depositing a first intermediate layer and then a second intermediate layer on the base layer; patterning the second intermediate layer while the base layer covers the layer to be processed; depositing a first mask pattern on the patterned second intermediate layer; patterning the second intermediate layer with the first mask pattern; patterning the first intermediate layer and the base layer with the patterned second intermediate layer to form a second mask pattern; and patterning the layer to be processed, with the second mask pattern.
    Type: Application
    Filed: February 14, 2008
    Publication date: August 14, 2008
    Inventors: Takeo Ishibashi, Kazumasa Yonekura, Masaaki Shinohara, Mamoru Terai
  • Publication number: 20080194107
    Abstract: The present invention aims to improve the controllability of dimensions at the time when a silicon substrate or a film formed on top of the silicon substrate is etched. For this purpose, a SiN film is formed so as to be in contact with the top of an element-forming surface of a silicon substrate, and the SiN film is selectively removed to form an opening portion. Then, a plasma processing is carried out on the element-forming surface of the silicon substrate to remove deposits attached on sidewalls of the opening portion formed in the SiN film. After that, the silicon substrate is selectively removed by using the SiN film as a mask to form a concave portion in the silicon substrate.
    Type: Application
    Filed: February 5, 2008
    Publication date: August 14, 2008
    Applicant: NEC Electronics Corporation
    Inventors: Akira Mitsuiki, Atsuro Inada
  • Publication number: 20080194108
    Abstract: Provided is a method of manufacturing a semiconductor device using double patterning. The method includes: forming a first material layer pattern having recesses in a first direction on an object layer and a second material layer pattern formed on the first material layer pattern; selectively etching the second material layer pattern and the first material layer pattern in a direction perpendicular to the first direction to form an etching mask; and etching the object layer to form minute patterns.
    Type: Application
    Filed: June 5, 2007
    Publication date: August 14, 2008
    Inventors: Hyun-chul Kim, Sung-il Cho, Jae-seung Hwang, Jun Sen, Yong-hyun Kwon
  • Publication number: 20080188080
    Abstract: Disclosed herein is an imaging method for patterning component shapes (e.g., fins, gate electrodes, etc.) into a substrate. By conducting a trim step prior to performing either an additive or subtractive sidewall image transfer process, the method avoids the formation of a loop pattern in a hard mask and, thus, avoids a post-SIT process trim step requiring alignment of a trim mask to sub-lithographic features to form a hard mask pattern with the discrete segments. In one embodiment a hard mask is trimmed prior to conducting an additive SIT process so that a loop pattern is not formed. In another embodiment an oxide layer and memory layer that are used to form a mandrel are trimmed prior to the conducting a subtractive SIT process. A mask is then used to protect portions of the mandrel during etch back of the oxide layer so that a loop pattern is not formed.
    Type: Application
    Filed: April 4, 2008
    Publication date: August 7, 2008
    Applicant: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, David V. Horak, Charles W. Koburger, Qiqing C. Quyang
  • Patent number: 7407890
    Abstract: A method of processing a substrate of a device comprises the as following steps. Form a cap layer over the substrate. Form a dummy layer over the cap layer, the cap layer having a top surface. Etch the dummy layer forming patterned dummy elements of variable widths and exposing sidewalls of the dummy elements and portions of the top surface of the cap layer aside from the dummy elements. Deposit a spacer layer over the device covering the patterned dummy elements and exposed surfaces of the cap layer. Etch back the spacer layer forming sidewall spacers aside from the sidewalls of the patterned dummy elements spaced above a minimum spacing and forming super-wide spacers between sidewalls of the patterned dummy elements spaced less than the minimum spacing. Strip the patterned dummy elements. Expose portions of the substrate aside from the sidewall spacers. Pattern exposed portions of the substrate by etching into the substrate.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: August 5, 2008
    Assignee: International Business Machines Corporation
    Inventor: Haining S. Yang
  • Patent number: 7405165
    Abstract: A dual-tank etch method which is suitable for the stripping of a silicon nitride layer from a pad oxide layer provided on a substrate, and etching of the pad oxide layer to a desired target thickness, is disclosed. The method includes providing a first processing tank containing a silicon nitride-stripping chemical; stripping the silicon nitride layer from the pad oxide layer by placing the substrate in the first processing tank; providing a second processing tank containing an oxide-etching chemical; and etching the pad oxide layer to the desired target thickness by placing the substrate in the second processing tank. By carrying out the pad oxide-etching step and the silicon nitride-stripping step in separate processing tanks, accumulation of silicon oxide precipitates in the second processing tank is avoided.
    Type: Grant
    Filed: November 5, 2004
    Date of Patent: July 29, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co, Ltd
    Inventors: Yang Kai Fan, Yong Rong Chang, Yi Song Chiu, Ping Yin Shin
  • Publication number: 20080176407
    Abstract: A semiconductor device manufacturing method includes: a step of implementing etching onto a film formed on a semiconductor wafer; and a removal step of supplying, after etching, a removing solution for removing deposition on the film to a semiconductor wafer in the state where the number of rotations thereof is smaller than a predetermined number of rotations thereafter to rotate the semiconductor wafer at a higher number of rotations, which is greater than the predetermined number of rotations. In this method, the time during which removing solution is supplied is 45 sec. or less. This method includes a sequence in which removal step is executed twice or more.
    Type: Application
    Filed: January 23, 2008
    Publication date: July 24, 2008
    Applicant: NEC ELECTRONICS CORPORATION
    Inventor: Yutaka Nagakura
  • Publication number: 20080176402
    Abstract: A method for fabricating a semiconductor device includes providing a substrate, forming a sacrificial oxide layer over the substrate, the sacrificial layer having a higher etch rate than the substrate, forming a hard mask pattern over the sacrificial oxide layer, wet-etching the sacrificial oxide layer using the hard mask pattern as an etch barrier, and forming a recess by etching an exposed substrate using the hard mask pattern as an etch barrier.
    Type: Application
    Filed: January 2, 2008
    Publication date: July 24, 2008
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Myung-Ok KIM, Tae-Woo JUNG
  • Publication number: 20080169535
    Abstract: The present invention provides structures and methods for providing multiple parallel V-shaped faceted grooves with sub-lithographic widths on a semiconductor substrate for enhanced performance MOSFETs. A self-aligning self-assembling material is used to pattern multiple parallel sub-lithographic lines. By employing an anisotropic etch that produces crystallographic facets on a semiconductor surface, multiple adjoining parallel V-shaped grooves with sub-lithographic groove widths are formed. While providing enhanced mobility for the MOSFET, the width of the MOSFET is not limited by the depth of focus in subsequent lithographic steps or the thickness of semiconductor layer above a BOX layer due to the sub-lithographic widths of the V-shaped grooves and the consequent reduction in the variation of the vertical profile. Also, the MOSFET has a well defined threshold voltage due to the narrow widths of each facet.
    Type: Application
    Filed: January 12, 2007
    Publication date: July 17, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Shahid A. Butt, Thomas W. Dyer, Oh-Jung Kwon, Jack A. Mandelman, Haining S. Yang
  • Publication number: 20080171443
    Abstract: A process for fabricating a hybrid substrate that has a defect trapping zone. The process includes the steps of forming or depositing a first insulator layer on a first substrate of semiconductor material; increasing roughness of the first insulator layer surface; depositing a second insulator layer on the roughened surface of the first insulator to form a trapping zone between the layers; bonding a second substrate onto the second insulator layer by molecular adhesion; and transferring an active layer formed by the implantation of atomic species into one of the substrates. The trapping zone is able to retain gaseous species present at the various interfaces of the hybrid substrate to limit the formation of defects on the surface of the active layer that is transferred.
    Type: Application
    Filed: August 9, 2007
    Publication date: July 17, 2008
    Inventor: Xavier HEBRAS
  • Publication number: 20080166885
    Abstract: Broadly speaking, the present invention provides a method and an apparatus for planarizing a semiconductor wafer (“wafer”). More specifically, the present invention provides for depositing a planarizing layer over the wafer, wherein the planarizing layer serves to fill recessed areas present on a surface of the wafer. A planar member is positioned over and proximate to a top surface of the wafer. Positioning of the planar member serves to entrap electroless plating solution between the planar member and the wafer surface. Radiant energy is applied to the wafer surface to cause a temperature increase at an interface between the wafer surface and the electroless plating solution. The temperature increase in turn causes plating reactions to occur at the wafer surface. Material deposited through the plating reactions forms a planarizing layer that conforms to a planarity of the planar member.
    Type: Application
    Filed: March 24, 2008
    Publication date: July 10, 2008
    Applicant: Lam Research Corporation
    Inventors: Fred C. Redeker, John Boyd, Yezdi Dordi, William Thie, Bob Maraschin
  • Publication number: 20080160773
    Abstract: A method of fabricating a semiconductor device includes a step of preparing a semiconductor substrate in which an edge region and a cell formation region are defined. Next, an insulating layer is deposited on an entire surface of the semiconductor substrate. The insulating layer deposited on the edge region of the semiconductor substrate is then selectively etched within a chamber of plasma etch equipment equipped with a lower support member, on which the semiconductor substrate can be mounted, and an upper insulating member opposite to the semiconductor substrate. Finally, an annealing process is performed on the insulating layer of the semiconductor substrate.
    Type: Application
    Filed: October 31, 2007
    Publication date: July 3, 2008
    Applicant: DONGBU HITEK CO., LTD.
    Inventor: Jin Won Lee
  • Publication number: 20080157131
    Abstract: A selective spacer for semiconductor and MEMS devices and method of manufacturing the same. In an embodiment, a selective spacer is formed adjacent to a first non-planar body having a greater sidewall height than a second non-planar semiconductor body in a self-aligned manner requiring no patterned etch operations. In a particular embodiment, a margin layer of a particular thickness is utilized to augment an existing structure and provide sufficient margin to protect a sidewall with a spacer that is first anisotropically defined and then isotropically defined. In another embodiment, the selective spacer formation prevents etch damage by terminating the anisotropic etch before a semiconductor surface is exposed.
    Type: Application
    Filed: December 29, 2006
    Publication date: July 3, 2008
    Inventors: Rajwinder Singh, Willy Rachmady, Uday Shah, Jack T. Kavalieros
  • Publication number: 20080160765
    Abstract: A method for forming a semiconductor device includes forming an etch target layer, forming a sacrificial hard mask layer having a metal layer and a carbon-based material layer on the etch target layer, forming a photoresist pattern on the carbon-based material layer, etching the carbon-based material layer by the photoresist pattern until a remaining carbon-based material portion has a predetermined thickness, etching the remaining carbon-based material portion until a corresponding metal layer portion is exposed to form a carbon-based material pattern, and etching the metal layer by using the carbon-based material pattern to form a hard mask pattern for forming the pattern.
    Type: Application
    Filed: June 29, 2007
    Publication date: July 3, 2008
    Inventors: Jung-Seock Lee, Ky-Hyun Han
  • Publication number: 20080160770
    Abstract: A method for manufacturing a semiconductor device includes forming an underlying layer over a semiconductor substrate; forming a hard mask layer over the underlying layer; forming first etch patterns over the hard mask layer; forming second etch patterns between the first photoresist patterns; etching the hard mask layer using the first and second etch patterns as an etch mask to form a hard mask pattern; and etching the underlying layer using at least the hard mask pattern. The first and second etch patterns are formed on the same layer.
    Type: Application
    Filed: June 29, 2007
    Publication date: July 3, 2008
    Applicant: Hynix Semiconductor, Inc.
    Inventor: Cheol Kyu BOK
  • Publication number: 20080157386
    Abstract: A semiconductor device includes a semiconductor substrate with a pattern region and a dummy region, an interlayer dielectric film arranged on the semiconductor substrate, a semiconductor layer pattern arranged on the interlayer dielectric film in the pattern region, a dummy pattern arranged on the interlayer dielectric film in the dummy region, a contact plug arranged inside the interlayer dielectric film, and the contact plug connecting the semiconductor layer pattern to the semiconductor substrate, and a dummy plug arranged inside the interlayer dielectric film, the dummy plug corresponding to the dummy pattern. A method for fabricating the semiconductor device includes forming these structures.
    Type: Application
    Filed: June 14, 2007
    Publication date: July 3, 2008
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Byung Ho Nam
  • Publication number: 20080160653
    Abstract: A method for fabricating a semiconductor device includes forming an etch target layer over a substrate that includes a cell region and a peripheral region. A first hard mask layer, a second hard mask layer, and an anti-reflective coating layer are formed over the etch target layer. A photosensitive pattern is formed over the anti-reflective coating layer. The anti-reflective coating layer is etched to have a width smaller than the width of the photosensitive pattern. The second hard mask layer is etched. A main etching and an over-etching are performed on the first hard mask layer. The etch target layer is then etched.
    Type: Application
    Filed: June 20, 2007
    Publication date: July 3, 2008
    Applicant: Hynix Semiconductor Inc.
    Inventors: Sang-Soo PARK, Chang-Heon Park, Dong-Ryeol Lee
  • Publication number: 20080160774
    Abstract: A method for fabricating a semiconductor device includes providing a substrate having a first and a second region, forming an etch target layer over the substrate, forming a hard mask layer over the etch target layer to have different thicknesses over the first and the second regions, forming a hard mask pattern by etching the hard mask layer, and etching the etch target layer using the hard mask pattern as an etch mask to form a target pattern having different densities over the first and the second regions.
    Type: Application
    Filed: December 26, 2007
    Publication date: July 3, 2008
    Inventors: Myung-Ok Kim, Tae-Woo Jung
  • Publication number: 20080160761
    Abstract: A method of modulating a surface includes: (a) forming a BCB layer on a surface of a target object; and (b) conducting a CF4 plasma exposure against a top surface of the BCB layer.
    Type: Application
    Filed: November 19, 2007
    Publication date: July 3, 2008
    Applicant: SEIKO EPSON CORPORATION
    Inventors: Christopher NEWSOME, Shunpu LI, Daping CHU
  • Publication number: 20080160767
    Abstract: A method for forming a fine pattern of a semiconductor device includes forming a first hard mask layer over a semiconductor substrate and a second hard mask layer over the first hard mask layer, selectively etching the second hard mask layer and the first hard mask layer by using a line/space mask as an etching mask to form a second hard mask layer pattern and a first hard mask layer pattern, forming an insulating film filling the second hard mask layer pattern and the first hard mask layer pattern, selectively etching the second hard mask layer and its underlying first hard mask layer pattern by using the insulating film as an etching mask to form a fourth hard mask layer pattern overlying a third hard mask layer pattern, removing the insulating film and the fourth hard mask layer pattern, and patterning the semiconductor substrate by using the third hard mask layer pattern as an etching mask, to form a fine pattern.
    Type: Application
    Filed: June 8, 2007
    Publication date: July 3, 2008
    Inventors: Keun Do Ban, Cheol Kyu Bok
  • Publication number: 20080160737
    Abstract: A method for forming a metal pattern in a semiconductor device includes forming an etch stop layer over a semi-finished substrate including a metal layer, forming a hard mask over the etch stop layer, etching the hard mask to form a hard mask pattern exposing the etch stop layer, and etching the etch stop layer and the metal layer using the hard mask pattern.
    Type: Application
    Filed: June 29, 2007
    Publication date: July 3, 2008
    Inventors: Sang-Rok Oh, Jae-Seon Yu
  • Publication number: 20080160768
    Abstract: A method of manufacturing a gate dielectric layer is described. First, a substrate including a high voltage device region and a low voltage device region is provided. Plural isolation structures are formed in the substrate and protrude from the substrate. A high voltage gate dielectric layer is then formed on the substrate, and a passivation layer is formed on the high voltage gate dielectric layer in the high voltage device region. Next, a dry etching step is performed to remove a portion of the high voltage gate dielectric layer in the low voltage device region. Thereafter, a wet etching step is performed to remove the remaining high voltage gate dielectric layer in the low voltage device region. The passivation layer is then removed and a low voltage gate dielectric layer is formed on the substrate in the low voltage device region.
    Type: Application
    Filed: May 11, 2007
    Publication date: July 3, 2008
    Applicant: WINBOND ELECTRONICS CORP.
    Inventors: Chih-Jung Ni, Ching-Jen Han, Wen-Shun Lo
  • Publication number: 20080153296
    Abstract: Disclosed is a method for the formation of features in a damascene process. According to the method, vias are formed in a dielectric layer and then covered by a layer of high molecular weight polymer. The high molecular weight polymer covers the vias but does not enter the vias. A trench is then etched through the high molecular weight polymer and the dielectric layer. Any remaining high molecular weight polymer is then removed.
    Type: Application
    Filed: December 22, 2006
    Publication date: June 26, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Wai-Kin Li, Wu-Song Huang
  • Publication number: 20080153298
    Abstract: A method of manufacturing a memory device forms a first dielectric layer over a substrate, forms a charge storage layer over the first dielectric layer, forms a second dielectric layer over the charge storage layer, and forms a control gate layer over the second dielectric layer. The method also forms a hard mask layer over the control gate layer, forms a bottom anti-reflective coating (BARC) layer over the hard mask layer, and provides an etch chemistry that includes tetrafluoromethane (CF4) and trifluoromethane (CHF3) to etch at least the control gate layer.
    Type: Application
    Filed: December 26, 2006
    Publication date: June 26, 2008
    Applicants: Advanced Micro Devices, Inc., Spansion LLC
    Inventors: Angela T. Hui, Jihwan Choi
  • Publication number: 20080153283
    Abstract: Described herein are embodiments of a method that includes forming a hard mask over an interlayer dielectric layer, patterning said hard mask, etching said interlayer dielectric layer, and removing said hard mask during a post-etch clean with a wet etchant having a selectivity to etch said hard mask at a greater rate than said interlayer dielectric layer.
    Type: Application
    Filed: December 20, 2006
    Publication date: June 26, 2008
    Inventors: Magdy S. Abdelrahman, Makarem A. Hussein
  • Publication number: 20080153302
    Abstract: Rather than depositing a heater material into a pore, a heater material may be first blanket deposited. The heater material may then be covered by a mask, such that the mask and the heater material may be etched to form a stack. Then, the region between adjacent stacks that form separate cells may be filled with an insulator. After removing the mask material, a pore is then formed in the insulator over the heater. This may then be filled with chalcogenide to form a phase change memory.
    Type: Application
    Filed: March 6, 2008
    Publication date: June 26, 2008
    Inventor: John M. Peters
  • Publication number: 20080153301
    Abstract: A method of generating mask data, for a set of masks used to transfer a pattern for delineating a circuit pattern of a semiconductor integrated circuit, includes preparing design data having a design pattern corresponding to the pattern to be transferred on a semiconductor substrate; generating resized data by enlarging the design data by a resizing quantity; generating first mask data by filling a space area having a space width of a space quantity or less of the resized data; and generating second mask data, to be aligned with the first mask data, having a window portion for selectively exposing an area determined by enlarging the space area by the resizing quantity.
    Type: Application
    Filed: January 31, 2008
    Publication date: June 26, 2008
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Satoshi Tanaka, Koji Hashimoto
  • Publication number: 20080153295
    Abstract: A semiconductor substrate having an etch stop layer and at least a dielectric layer disposed from bottom to top is provided. The dielectric layer and the etching stop layer is then patterned to form a plurality of openings exposing the semiconductor substrate. A dielectric thin film is subsequently formed to cover the dielectric layer, the sidewalls of the openings, and the semiconductor substrate. The dielectric thin film disposed on the dielectric layer and the semiconductor substrate is then removed while the dielectric thin film disposed on the sidewalls remains.
    Type: Application
    Filed: March 5, 2008
    Publication date: June 26, 2008
    Inventors: Feng-Yi Chang, Pei-Yu Chou, Jiunn-Hsiung Liao, Chih-Wen Feng, Ying-Chih Lin, Po-Chao Tsao
  • Publication number: 20080153300
    Abstract: A method for forming a fine pattern of a semiconductor device comprises the steps of: preparing a semiconductor substrate including an underlying layer, an insulating film, a bottom anti-reflection film, and a positive photoresist film sequentially; patterning the positive photoresist film to form a positive photoresist pattern; forming a negative photoresist film over the resulting structure including the positive photoresist pattern; patterning the negative photoresist film to form a negative photoresist pattern between the positive photoresist pattern; patterning the insulating film and the bottom anti-reflection film with the positive photoresist pattern and the negative photoresist pattern as an etching mask to form an insulating film pattern; and patterning the underlying layer with the insulating film pattern as an etching mask.
    Type: Application
    Filed: May 30, 2007
    Publication date: June 26, 2008
    Inventor: Cheol Kyu Bok
  • Publication number: 20080146034
    Abstract: Methods for recess etching are provided herein that advantageously improve lateral to vertical etch ratio requirements, thereby enabling deeper recess etching while maintaining relatively shallow vertical etch depths. Such enhanced lateral etch methods advantageously provide benefits for numerous applications where lateral to vertical etch depth ratios are constrained or where recesses or cavities are desired to be formed. In some embodiments, a method of recess etching includes providing a substrate having a structure formed thereon; forming a recess in the substrate at least partially beneath the structure using a first etch process; forming a selective passivation layer on the substrate; and extending the recess in the substrate using a second etch process. The selective passivation layer is generally formed on regions of the substrate adjacent to the structure but generally not within the recess. The first and second etch processes may be the same or different.
    Type: Application
    Filed: December 12, 2007
    Publication date: June 19, 2008
    Applicant: APPLIED MATERIALS, INC.
    Inventors: MEIHUA SHEN, RONG CHEN, Scott M. Williams
  • Publication number: 20080146033
    Abstract: A gap-filling method of a semiconductor device is realized without voids by providing the optimal deposition conditions based on DED conditions related to etching time, etching number and RF frequency. The method includes (a) depositing a first high-density plasma oxide film to fill some of a gap; (b) etching some of the first high-density plasma oxide film; (c) performing a gap-filling process by depositing a second high-density plasma oxide film on the first high-density plasma oxide film; and (d) repeating the sequential steps of (a), (b) and (c) three times.
    Type: Application
    Filed: August 30, 2007
    Publication date: June 19, 2008
    Inventor: Kyung-Min Park
  • Publication number: 20080132076
    Abstract: A method for avoiding a polysilicon defect includes: forming a silicon oxide layer on a silicon substrate; forming a polysilicon plug in the silicon oxide layer, with the polysilicon plug going through the silicon oxide layer; forming on the silicon oxide layer a silicon nitride layer covering the polysilicon plug; forming interlayer dielectric layers on the silicon nitride layer; etching the interlayer dielectric layers over the polysilicon plug to form an opening; etching the silicon nitride layer at the opening to expose the polysilicon plug; and filling polysilicon into the opening such that the opening is in communication with polysilicon plug. In this way, there will be no reaction of the HSC1 with the polysilicon plug due to the protection by the silicon nitride layer. Moreover, since the silicon nitride layer is the last to be etched, there will be no residual thereof, enabling a subsequent flat filling.
    Type: Application
    Filed: September 10, 2007
    Publication date: June 5, 2008
    Applicant: Semiconductor Manufacturing International ( Shanghai) Corporation
    Inventors: Yun Yang, Zhenliang Yang, Hyun Jae Kim, Gangning Wang
  • Publication number: 20080128799
    Abstract: A method for fabricating a semiconductor device includes etching a substrate to form a first recess having a micro trench, etching the substrate disposed under the first recess to form a second recess having a profile substantially vertical and a width greater than a portion of the first recess where no micro trench is formed, etching the substrate disposed under the second recess to form a third recess having a profile substantially spherical, and forming a gate pattern over a resultant recess including the first to third recesses.
    Type: Application
    Filed: June 26, 2007
    Publication date: June 5, 2008
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Hyun-Sik PARK, Ky-Hyun HAN
  • Publication number: 20080128777
    Abstract: System and method for self-aligned etching. According to an embodiment, the present invention provides a method for performing self-aligned source etching process. The method includes a step for providing a substrate material. The method also includes a step for forming a layer of etchable oxide material overlying at least a portion of the substrate material. The layer of etchable oxide material can characterized by a first thickness. The layer of etchable oxide material includes a first portion, a second portion, and a third portion. The second portion is positioned between the first portion and the third portion. The method additionally includes a step for forming a plurality of structures overlying the layer of etchable oxide material. The plurality of structures includes a first structure and a second structure.
    Type: Application
    Filed: December 15, 2006
    Publication date: June 5, 2008
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Zhongshan Hong, Xue Li
  • Publication number: 20080132073
    Abstract: An oxide pattern forming method comprises forming an oxide layer on a semiconductor substrate, implanting boron ions of not less than 1.0×1016 atoms/cm2 onto the oxide layer in a given region, and wet-etching the oxide layer in the remaining region where the boron ions are not implanted.
    Type: Application
    Filed: June 29, 2007
    Publication date: June 5, 2008
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Hyo Geun Yoon, Woo Jin Kim, Dong Joo Kim, Ji Yong Park, Yong Soo Jung, Geun Min Choi, Young Wok Song, Sang Hyun Lee
  • Publication number: 20080122107
    Abstract: A method of forming an opening on a low-k dielectric layer using a polysilicon hard mask rather than a metal hard mask as used in prior art. A polysilicon hard mask is formed over a low-k dielectric layer and a photoresist layer is formed over the polysilicon hard mask. The photoresist layer is patterned and the polysilicon hard mask is etched with a gas plasma to create exposed portions of the low-k dielectric layer. The photoresist layer in stripped prior to the etching of the exposed portions of the low-k dielectric layer to avoid damage to the low-k dielectric layer.
    Type: Application
    Filed: September 22, 2006
    Publication date: May 29, 2008
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Jang-Shiang Tsai, Jyu-Horng Shieh, Ju-Wang Hsu, De-Fang Chen, Chia-Hui Lin, Syun-Ming Jang
  • Publication number: 20080124933
    Abstract: A method for fabricating an electron device on a substrate includes the steps of forming a dummy film over the substrate such that the dummy film covers a device region of the substrate and an outer region of the substrate outside the device region, forming a dummy pattern by patterning the dummy film such that the dummy patter has a first height in the device region and a second height smaller than the first height in the outer region, forming another film over the substrate such that the film covers the dummy pattern in the device region and in the outer region with a shape conformal to a cross-sectional shape of the dummy pattern, and applying an anisotropic etching process acting generally perpendicularly to the substrate such that a surface of the substrate is exposed in the device region and in the outer region.
    Type: Application
    Filed: November 27, 2007
    Publication date: May 29, 2008
    Applicant: FUJITSU LIMITED
    Inventors: Kenji Ishikawa, Hideharu Shido, Takeo Nagata, Teruo Kurahashi, Yasuyoshi Mishima
  • Publication number: 20080122125
    Abstract: A method of forming features on a target layer. The features have a critical dimension that is triple- or quadruple-reduced compared to the critical dimension of portions of a resist layer used as a mask. An intermediate layer is deposited over a target layer and the resist layer is formed over the intermediate layer. After patterning the resist layer, first spacers are formed on sidewalls of remaining portions of the resist layer, masking portions of the intermediate layer. Second spacers are formed on sidewalls of the portions of the intermediate layer. After removing the portions of the intermediate layer, the second spacers are used as a mask to form the features on the target layer. A partially fabricated integrated circuit device is also disclosed.
    Type: Application
    Filed: November 29, 2006
    Publication date: May 29, 2008
    Inventor: Baosuo Zhou
  • Publication number: 20080119053
    Abstract: The present invention is generally directed to a method of manufacturing sidewall spacers on a memory device, and a memory device comprising such sidewall spacers. In one illustrative embodiment, the method includes forming sidewall spacers on a memory device comprised of a memory array and at least one peripheral circuit by forming a first sidewall spacer adjacent a word line structure in the memory array, the first sidewall spacer having a first thickness and forming a second sidewall spacer adjacent a transistor structure in the peripheral circuit, the second sidewall spacer having a second thickness that is greater than the first thickness, wherein the first and second sidewall spacers comprise material from a single layer of spacer material.
    Type: Application
    Filed: January 28, 2008
    Publication date: May 22, 2008
    Inventors: David Hwang, Kunal Parekh, Michael Willett, Jigish Trivedi, Suraj Mathew, Greg Peterson