Etching Insulating Layer By Chemical Or Physical Means (epo) Patents (Class 257/E21.249)
  • Publication number: 20120040533
    Abstract: A method of manufacturing semiconductor devices comprises forming a plurality of patterns by patterning a thin film formed over an underlying layer and cleaning contaminants generated when the thin film is patterned using a plasma both having oxidative and reductive properties.
    Type: Application
    Filed: December 17, 2010
    Publication date: February 16, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Myung Kyu Ahn
  • Patent number: 8114778
    Abstract: A method of forming minute patterns in a semiconductor device, and more particularly, a method of forming minute patterns in a semiconductor device having an even number of insert patterns between basic patterns by double patterning including insert patterns between a first basic pattern and a second basic pattern which are transversely separated from each other on a semiconductor substrate, wherein a first insert pattern and a second insert pattern are alternately repeated to form the insert patterns, the method includes the operation of performing a partial etching toward the second insert pattern adjacent to the second basic pattern, or the operation of forming a shielding layer pattern, thereby forming the even number of insert patterns.
    Type: Grant
    Filed: October 15, 2010
    Date of Patent: February 14, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-yong Park, Jae-kwan Park, Yong-sik Yim, Jae-hwang Sim
  • Publication number: 20120028469
    Abstract: A method of tailoring conformality of a film deposited on a patterned surface includes: (I) depositing a film by PEALD or pulsed PECVD on the patterned surface; (II) etching the film, wherein the etching is conducted in a pulse or pulses, wherein a ratio of an etching rate of the film on a top surface and that of the film on side walls of the patterns is controlled as a function of the etching pulse duration and the number of etching pulses to increase a conformality of the film; and (III) repeating (I) and (II) to satisfy a target film thickness.
    Type: Application
    Filed: July 30, 2010
    Publication date: February 2, 2012
    Applicant: ASM JAPAN K.K.
    Inventors: Shigeyuki Onizawa, Woo-Jin Lee, Hideaki Fukuda, Kunitoshi Namba
  • Publication number: 20120021606
    Abstract: A process for producing two interleaved patterns on a substrate uses photolithography and etching to produce, on the substrate, a first pattern of first material protruding regions separated by recessed regions. A non-conformal deposition of a second material on the first pattern forms cavities in the recessed regions of the first pattern. These cavities are opened and filled with a third material. The second material is then removed, and the remaining third material forms a second pattern of third material protruding regions, wherein the second pattern is interleaved with the first pattern.
    Type: Application
    Filed: July 21, 2011
    Publication date: January 26, 2012
    Applicants: Commissariat a L'Energie Atomique et aux Energies Alternatives, STMicroelectronics (Grenoble 2) SAS
    Inventors: Yves Morand, Thierry Poiroux
  • Publication number: 20120021607
    Abstract: An embodiment of the disclosure includes a method of pitch reduction. A substrate is provided. A first material layer is formed over the substrate. A second material layer is formed on the first material layer. A hardmask layer is formed on the second material layer. A first imaging layer is formed on the hardmask layer. The first imaging layer is patterned to form a plurality of first features over the hardmask layer. The hardmask layer is etched utilizing the first imaging layer as a mask to form the first features in the hardmask layer. The first imaging layer is removed to expose the etched hardmask layer and a portion of a top surface of the second material layer. A second imaging layer is formed and the process is repeated, such that first and second features are alternating with a pitch substantially half the original pitch.
    Type: Application
    Filed: July 23, 2010
    Publication date: January 26, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ming-Jie HUANG, Chen-Ping CHEN
  • Publication number: 20120021355
    Abstract: Provided are a coating composition for deep ultraviolet (DUV) filtering during an extreme ultraviolet (EUV) exposure, the coating composition including about 100 parts by weight of a solvent including a first solvent (the first solvent being an alcoholic solvent); and about 0.05 parts by weight to about 5 parts by weight of a coating polymer having a degree of absorption of about 50%/?m or greater with respect to 193-nm incident light.
    Type: Application
    Filed: July 22, 2011
    Publication date: January 26, 2012
    Inventors: Hyun-woo Kim, Hai-sub Na, Chil-hee Chung, Han-ku Cho
  • Publication number: 20120012987
    Abstract: Various semiconductor chips and methods of making the same are disclosed. In one aspect, a method of manufacturing is provided that includes forming a first opening in an insulating layer applied to a side of a semiconductor chip. The first opening does not extend through to the side. A second opening is formed in the insulating layer that exposes a portion of the side.
    Type: Application
    Filed: September 28, 2011
    Publication date: January 19, 2012
    Inventors: Roden R. Topacio, Neil McLellan
  • Publication number: 20120009793
    Abstract: Methods for circuit material processing are provided. In at least one such method, a substrate is provided with a plurality of overlying spacers. The spacers have substantially straight inner sidewalls and curved outer sidewalls. An augmentation material is formed on the plurality of spacers such that the inner or the outer sidewalls of the spacers are selectively expanded. The augmentation material can bridge the upper portions of pairs of neighboring inner sidewalls to limit deposition between the inner sidewalls. The augmentation material is selectively etched to form a pattern of augmented spacers having a desired augmentation of the inner or outer sidewalls. The pattern of augmented spacers can then be transferred to the substrate through a series of selective etches such that features formed in the substrate achieve a desired pitch.
    Type: Application
    Filed: September 21, 2011
    Publication date: January 12, 2012
    Applicant: Micron Technology, Inc.
    Inventor: Hongbin Zhu
  • Publication number: 20120009791
    Abstract: According to one embodiment, a pattern formation method is disclosed. The method can include filling an imprint material between a first protrusion-depression pattern of a first pattern transfer layer formed on a first replica substrate and a second pattern transfer layer being transparent to energy radiation and formed on a second replica substrate transparent to the energy radiation. The method can include curing the imprint material by irradiating the imprint material with the energy radiation from an opposite surface side of the second replica substrate. The method can include releasing the first protrusion-depression pattern from the imprint material. The method can include forming a second protrusion-depression pattern in the second pattern transfer layer by processing the second pattern transfer layer using the imprint material as a mask.
    Type: Application
    Filed: July 1, 2011
    Publication date: January 12, 2012
    Inventors: Yingkang ZHANG, Masafumi Asano, Takeshi Koshiba
  • Patent number: 8093152
    Abstract: A trench forming method for forming trenches without creating gouges at the boundary between a masking oxide film and a semiconductor layer and at the boundary between an oxide film insulating layer and the semiconductor layer, includes at least three etching steps each using, as the etching gas, one of at least two types of etching gases that respectively contain different components.
    Type: Grant
    Filed: February 19, 2010
    Date of Patent: January 10, 2012
    Assignee: Lapis Semiconductor Co., Ltd.
    Inventor: Sadaharu Tamaki
  • Publication number: 20110318853
    Abstract: A method for forming a nozzle chamber of an inkjet printhead includes the steps of depositing a sacrificial layer on a wafer substrate; etching the sacrificial layer to define a deposition area for forming sidewalls of the nozzle chamber; depositing a structural layer over the sacrificial layer and into the deposition area; etching the structural layer to define an ink ejection port and a plurality of etchant holes, the etchant holes being etched to a dimension for facilitating the establishment of sufficient surface tension across the etchant hole to restrict egress of ink therethrough from the nozzle chamber; and etching away the sacrificial layer using a sacrificial etchant, the sacrificial etchant being applied to the sacrificial layer via the etchant holes.
    Type: Application
    Filed: September 4, 2011
    Publication date: December 29, 2011
    Inventor: Kia Silverbrook
  • Publication number: 20110312184
    Abstract: A method for forming a pattern of a semiconductor device is disclosed. The method for forming the semiconductor device pattern can simplify a fabrication process using Spacer Patterning Technology (SPT), and at the same time can form a microscopic contact hole.
    Type: Application
    Filed: December 28, 2010
    Publication date: December 22, 2011
    Applicant: Hynix Semiconductor Inc.
    Inventors: Byoung Hoon LEE, Jong Sik Bang
  • Publication number: 20110312172
    Abstract: In a method forming patterns, a layer on a substrate is patterned by a first etching process using an etch mask to form a plurality of first preliminary patterns and a plurality of second preliminary patterns. The second preliminary patterns are spaced apart from each other at a second distance larger than a first distance at which the first preliminary patterns are spaced apart. First and second coating layers are formed on sidewalls of the first and second preliminary patterns, respectively, and the first and second coating layers and portions of the first and second preliminary patterns are removed by a second etching process using the etch mask to form a plurality of first patterns and a plurality of second patterns. The first patterns have widths that are smaller than widths of the first preliminary patterns. The first patterns may have generally vertical sidewalls relative to the substrate.
    Type: Application
    Filed: June 20, 2011
    Publication date: December 22, 2011
    Inventors: Min-Joon Park, Seok-Hyun Lim
  • Publication number: 20110309486
    Abstract: A method of forming a capped die forms a cap wafer having a top side and a bottom side. The bottom side is formed with 1) a plurality of device cavities having a first depth, and 2) a plurality of second cavities that each have a greater depth than the first depth. At least some of the plurality of second cavities each generally circumscribe at least one of the device cavities. The method then secures the cap wafer to a device wafer in a manner that causes a plurality of the device cavities each to circumscribe at least one of circuitry and structure on the device wafer. Next, the method removes at least a portion of the top side of the cap wafer to expose the second cavities. This forms a plurality of caps that each protect the noted circuitry and structure.
    Type: Application
    Filed: June 22, 2011
    Publication date: December 22, 2011
    Applicant: ANALOG DEVICES, INC.
    Inventors: Mitul Dalal, Li Chen
  • Patent number: 8076230
    Abstract: A method for simultaneous formation of a self-aligned contact of a core region and a local interconnect of a peripheral region of an integrated circuit includes etching a cap dielectric layer to simultaneously form a hole in the core region and a trench in the peripheral region of the cap dielectric layer, etching a dielectric layer to simultaneously form a hole in the core region and a trench in the peripheral region of the dielectric layer of the dielectric layer, etching a liner layer simultaneously on a shoulder of sidewall spacers associated with the hole and with the trench of the dielectric layer without etching the liner layer at a bottom area of the hole and the trench, performing an oxygen flushing to remove polymer residues, and etching simultaneously through the liner layer that lines the bottom area of the hole and the trench.
    Type: Grant
    Filed: July 20, 2009
    Date of Patent: December 13, 2011
    Assignee: Macronix International Co. Ltd.
    Inventor: An Chyi Wei
  • Publication number: 20110300711
    Abstract: A method of patterning a substrate comprises providing an array of resist features defined by a first pitch and a first gap width between adjacent resist features. Particles are introduced into the array of resist features, wherein the array of resist features becomes hardened. The introduction of particles may cause a reduction in critical dimension of the resist features. Sidewalls are provided on side portions of hardened resist features. Subsequent to the formation of the sidewalls, the hardened resist features are removed, leaving an array of isolated sidewalls disposed on the substrate. The sidewall array provides a mask for double patterning of features in the substrate layers disposed below the sidewalls, wherein an array of features formed in the substrate has a second pitch equal to half that of the first pitch.
    Type: Application
    Filed: August 19, 2010
    Publication date: December 8, 2011
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Patrick M. Martin, Steven Carlson, Choong-Young Oh, Jung-Wook Park
  • Publication number: 20110300659
    Abstract: Method for fabricating MEMS device has a first surface and a second surface and having a MEMS region and an IC region. A MEMS structure is formed over the first surface. A structural dielectric layer is formed over the first surface. The structural dielectric layer has a dielectric member and the spaces surrounding the MEMS structure is filled with the dielectric member. The substrate is patterned by etching process from the second surface of the substrate to expose a portion of the dielectric member filled in the space surrounding the MEMS structure. A wettable thin layer is formed to cover an exposed portion of the substrate at the second surface. An etching process is performed on the dielectric member filled in the spaces surrounding the MEMS structure. The MEMS structure is exposed and released by the etching process. The etching process comprises an isotropic etching process with a wet etchant.
    Type: Application
    Filed: August 15, 2011
    Publication date: December 8, 2011
    Applicant: SOLID STATE SYSTEM CO., LTD.
    Inventors: Tsung-Min Hsieh, Chien-Hsing Lee, Jhyy-Cheng Liou
  • Publication number: 20110300713
    Abstract: Methods are disclosed for fabricating an overlay vernier key. A method includes forming a pattern layer and an insulating layer over a semiconductor substrate. The insulating layer is etched to form insulating layer patterns to partially expose the pattern layer. Spacers are formed on sidewalls of the insulating layer patterns. The insulating layer patterns are removed while leaving the spacers to obtain a spacer-shaped etch mask. The pattern layer is etched using the spacer-shaped etch mask to form vernier patterns. At least one of the vernier patterns has a hollow shape.
    Type: Application
    Filed: August 16, 2011
    Publication date: December 8, 2011
    Applicant: Hynix Semiconductor Inc.
    Inventors: Byeong Ho CHO, Sung Woo KO
  • Publication number: 20110294294
    Abstract: Various pattern transfer and etching steps can be used to create features. Conventional photolithography steps can be used in combination with pitch-reduction techniques to form superimposed, pitch-reduced patterns of crossing elongate features that can be consolidated into a single layer. Planarizing techniques using a filler layer and a protective layer are disclosed. Portions of an integrated circuit having different heights can be etched to a common plane.
    Type: Application
    Filed: August 11, 2011
    Publication date: December 1, 2011
    Applicant: Micron Technology, Inc.
    Inventors: Mirzafer Abatchev, David Wells, Baosuo Zhou, Krupakar M. Subramanian
  • Publication number: 20110281425
    Abstract: A method of manufacturing a semiconductor device includes forming a photoresist pattern on an insulating film formed on a semiconductor substrate by applying a photoresist on the insulating film; processing the insulating film by removing an unnecessary portion of the insulating film by wet etching; and implanting ions into the insulating film before and/or after forming the photoresist pattern. In implanting the ions, the depth of a damaged region formed in the insulating film by implanting the ions is changed in accordance with the presence or absence of the photoresist pattern.
    Type: Application
    Filed: April 25, 2011
    Publication date: November 17, 2011
    Applicant: MITSUMI ELECTRIC CO., LTD.
    Inventors: Kei Tamura, Koji Miyoshi
  • Publication number: 20110281435
    Abstract: A plasma chamber with a plasma confinement zone with an electrode is provided. A gas distribution system for providing a first gas and a second gas is connected to the plasma chamber, wherein the gas distribution system can substantially replace one gas in the plasma zone with the other gas within a period of less than 1 s. A first frequency tuned RF power source for providing power to the electrode in a first frequency range is electrically connected to the at least one electrode wherein the first frequency tuned RF power source is able to minimize a reflected RF power. A second frequency tuned RF power source for providing power to the plasma chamber in a second frequency range outside of the first frequency range wherein the second frequency tuned RF power source is able to minimize a reflected RF power.
    Type: Application
    Filed: July 22, 2011
    Publication date: November 17, 2011
    Applicant: LAM RESEARCH CORPORATION
    Inventors: S. M. Reza Sadjadi, Zhisong Huang, Jose Tong Sam, Eric H. Lenz, Rajinder Dhindsa
  • Publication number: 20110278580
    Abstract: A method for fabricating recessed source regions of aggressively scaled CMOS devices. In this method a processing sequence of plasma etch, deposition, followed by plasma etch is used to controllably form recessed regions of the source in the channel of a thin body, much less than 40 nm, device to enable subsequent epitaxial growth of SiGe, SiC, or other materials, and a consequent increase in the device and ring oscillator performance. A Field Effect Transistor device is also provided, which includes: a buried oxide layer; a silicon layer above the buried oxide layer; an isotropically recessed source region; and a gate stack which includes a gate dielectric, a conductive material, and a spacer.
    Type: Application
    Filed: May 13, 2010
    Publication date: November 17, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Nicholas C. Fuller, Steve Koester, Isaac Lauer, Ying Zhang
  • Publication number: 20110281434
    Abstract: This invention comprises methods of forming patterned photoresist layers over semiconductor substrates. In one implementation, a semiconductor substrate is provided. An antireflective coating is formed over the semiconductor substrate. The antireflective coating has an outer surface. The outer surface is treated with a basic fluid. A positive photoresist is applied onto the outer surface which has been treated with the basic treating fluid. The positive photoresist is patterned and developed effective to form a patterned photoresist layer having increased footing at a base region of said layer than would otherwise occur in the absence of said treating the outer surface. Other aspects and implementations are contemplated.
    Type: Application
    Filed: June 20, 2011
    Publication date: November 17, 2011
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Jon P. Daley
  • Patent number: 8058151
    Abstract: A structure includes a substrate having a plurality of scribe line areas surrounding a plurality of die areas. Each of the die areas includes at least one first conductive structure formed over the substrate. Each of the scribe line areas includes at least one active region and at least one non-active region. The active region includes a second conductive structure formed therein. The structure further includes at least one first passivation layer formed over the first conductive structure and second conductive structure, wherein at least a portion of the first passivation layer within the non-active region is removed, whereby die-sawing damage is reduced.
    Type: Grant
    Filed: April 6, 2010
    Date of Patent: November 15, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shin-Puu Jeng, Hao-Yi Tsai
  • Publication number: 20110260332
    Abstract: A multilevel interconnect structure for a semiconductor device includes an intermetal dielectric layer with funnel-shaped connecting vias. The funnel-shaped connecting vias are provided in connection with systems exhibiting submicron spacings. The architecture of the multilevel interconnect structure provides a low resistance connecting via.
    Type: Application
    Filed: April 21, 2011
    Publication date: October 27, 2011
    Applicant: STMICROELECTRONICS S.R.L.
    Inventors: Antonio Di Franco, Silvio Cristofalo, Marco Bonifacio
  • Publication number: 20110256702
    Abstract: The present invention discloses a display device and a manufacturing method thereof by which a manufacturing process can be simplified. Further, the present invention discloses technique for manufacturing a pattern such as a wiring into a desired shape with good controllability. A method for forming a pattern for constituting the display device according to the present invention comprises the steps of forming a first region and a second region; discharging a composition containing a pattern formation material to a region across the second region and the first region; and flowing a part of the composition discharged to the first region into the second region; wherein wettability with respect to the composition of the first region is lower than that of the second composition.
    Type: Application
    Filed: May 2, 2011
    Publication date: October 20, 2011
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventor: Gen FUJII
  • Publication number: 20110256725
    Abstract: Provided is a thin film device and an associated method of making a thin film device. For example, fabrication of an inverter thin film device is described. Moreover, a parallel spaced electrically conductive strips are provided upon a substrate. A functional material is deposited upon the conductive strips. A 3D structure is then provided upon the functional material, the 3D structure having a plurality of different heights, at least one height defining a first portion of the conductive strips to be bundled. The 3D structure and functional material are then etched to define a TFD disposed above the first portion of the conductive strips. The first portion of the conductive strips is bundled adjacent to the TFD.
    Type: Application
    Filed: June 29, 2011
    Publication date: October 20, 2011
    Inventors: Ping Mei, Hao Luo, Carl Taussig
  • Publication number: 20110256726
    Abstract: Methods of depositing a film on a substrate surface include surface mediated reactions in which a film is grown over one or more cycles of reactant adsorption and reaction. In one aspect, the method is characterized by the following operations: (a) exposing the substrate surface to a first reactant in vapor phase under conditions allowing the first reactant to adsorb onto the substrate surface; (b) exposing the substrate surface to a second reactant in vapor phase while the first reactant is adsorbed on the substrate surface; and (c) exposing the substrate surface to plasma to drive a reaction between the first and second reactants adsorbed on the substrate surface to form the film.
    Type: Application
    Filed: April 11, 2011
    Publication date: October 20, 2011
    Inventors: Adrien LaVoie, Shankar Swaminathan, Hu Kang, Ramesh Chandrasekharan, Tom Dorsh, Dennis M. Hausmann, Jon Henri, Thomas Jewell, Ming Li, Bryan Schlief, Antonio Xavier, Thomas W. Mountsier, Bart J. van Schravendijk, Easwar Srinivasan, Mandyam Sriram
  • Patent number: 8039399
    Abstract: Some embodiments include methods of forming patterns. A first set of features is photolithographically formed over a substrate, and then a second set of features is photolithographically formed over the substrate. At least some of the features of said second set alternate with features of the first set. Spacer material is formed over and between the features of the first and second sets. The spacer material is anisotropically etched to form spacers along the features of the first and second sets. The features of the first and second sets are then removed to leave a pattern of the spacers over the substrate.
    Type: Grant
    Filed: October 9, 2008
    Date of Patent: October 18, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Ardavan Niroomand, Gurtej S. Sandhu, Mark Kiehlbauch, Scott Sills
  • Publication number: 20110248412
    Abstract: A chip identification for organic laminate packaging and methods of manufacture is provided. The method includes forming a material on a wafer which comprises a plurality of chips. The method further includes modifying the material to provide a unique identification for each of the plurality of chips on the wafer. The organic laminate structure includes a chip with a device and a material placed on the chip which is modified to have a unique identification mark for the chip.
    Type: Application
    Filed: April 8, 2010
    Publication date: October 13, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Albert J. BANACH, Timothy H. DAUBENSPECK, Wolfgang SAUTER
  • Publication number: 20110250757
    Abstract: A coating film is formed on a member to be etched, which includes an amorphous carbon film and a silicon oxynitride film, by a spin coating method; a sidewall core is formed by pattering the coating film; a silicon oxide film is formed to cover at least the side surface of the sidewall core; and an organic anti-reflection film is formed on the silicon oxide film by a spin coating method. Thereafter, an embedded mask is formed to cover concave portions of the silicon oxide film by etching the organic anti-reflection film; exposed is a portion of the member to be etched which does not overlap the sidewall core or the embedded mask by etching the silicon oxide film; and the member to be etched is etched. Thus, it is possible to obtain a pattern with a size less than the photolithography resolution limit.
    Type: Application
    Filed: April 8, 2011
    Publication date: October 13, 2011
    Applicant: Elpida Memory, Inc.
    Inventors: Mitsunari Sukekawa, Hiromitsu Oshima
  • Publication number: 20110241085
    Abstract: A semiconducting device with a dual sidewall spacer and method of forming are provided. The method includes: depositing a first spacer layer over a patterned structure, the first spacer layer having a seam propagating through a thickness of the first spacer layer near an interface region of a surface of the substrate and a sidewall of the patterned structure, etching the first spacer layer to form a residual spacer at the interface region, where the residual spacer coats less than the entirety of the sidewall of the patterned structure, depositing a second spacer layer on the residual spacer and on the sidewall of the patterned structure not coated by the residual spacer, the second spacer layer being seam-free on the seam of the residual spacer, and etching the second spacer layer to form a second spacer coating the residual spacer and coating the sidewall of the patterned structure not coated by the residual spacer.
    Type: Application
    Filed: March 31, 2010
    Publication date: October 6, 2011
    Applicants: TOKYO ELECTRON LIMITED, INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: David L. O'Meara, Anthony Dip, Aelan Mosden, Pao-Hwa Chou, Richard A. Conti
  • Publication number: 20110244690
    Abstract: According to various embodiments of the disclosure, an apparatus and method for enhanced deposition and etch techniques is described, including a pedestal, the pedestal having at least two electrodes embedded in the pedestal, a showerhead above the pedestal, a plasma gas source connected to the showerhead, wherein the showerhead is configured to deliver plasma gas to a processing region between the showerhead and the substrate and a power source operably connected to the showerhead and the at least two electrodes with plasma being substantially contained in an area which corresponds with one electrode of the at least two electrodes.
    Type: Application
    Filed: December 9, 2010
    Publication date: October 6, 2011
    Inventors: Sunil Shanker, Tony P. Chiang, Chi-I Lang
  • Publication number: 20110244688
    Abstract: According to one embodiment, a method of producing a mask includes: a step of forming a pattern on a substrate; a step of forming a first film that covers the top surface and side surface of the pattern and contains a first material; a step of forming a second film containing a second material on the first film; a step of performing anisotropic etching of the first and second films in a way that forms a sidewall layer including the first and second films on the side surface of the pattern and removes the first and second films on any location other than the sidewall layer; a step of performing isotropic etching of the first film of the sidewall layer; and a step of removing the pattern.
    Type: Application
    Filed: September 1, 2010
    Publication date: October 6, 2011
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Yuichi OHSAWA, Junichi Ito, Saori Kashiwada, Chikayoshi Kamata
  • Patent number: 8021986
    Abstract: A method for producing a transistor with metallic source and drain including the steps of: a) producing a gate stack, b) producing two portions of a material capable of being selectively etched relative to a second dielectric material and arranged at the locations of the source and of the drain of the transistor, c) producing a second dielectric material-based layer covering the stack and the two portions of material, d) producing two holes in the second dielectric material-based layer forming accesses to the two portions of material, e) etching of said two portions of material, f) depositing a metallic material in the two formed cavities, and also including, between steps a) and b), a step of deposition of a barrier layer on the stack, against the lateral sides of the stack and against the face of the first dielectric material-based layer.
    Type: Grant
    Filed: June 8, 2010
    Date of Patent: September 20, 2011
    Assignee: Commissariat à l'énergie atomique et aux energies alternatives
    Inventors: Bernard Previtali, Thierry Poiroux, Maud Vinet
  • Patent number: 8021984
    Abstract: A method for manufacturing a semiconductor includes forming an active region for an ESD device, an active region for a first polygate and the semiconductor, and a second polygate having a form of a blanket trench on a substrate, forming an interlayer dielectric layer including first and second insulating on the substrate, planarizing the interlayer dielectric layer, forming a contact pattern to open a portion of the interlayer dielectric layer over the first polygate, forming a first polygate trench by performing a first etch process with respect to the second insulating layer below the contact pattern, and performing a second etch process to remove the first insulating layer inside the first polygate trench and to remove the first insulating layer over the active region of the semiconductor other than the second polygate.
    Type: Grant
    Filed: December 22, 2009
    Date of Patent: September 20, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Wan-Gi Lee
  • Publication number: 20110223766
    Abstract: A method for manufacturing a semiconductor device includes: exposing an insulating film including a siloxane bond to an energy beam or plasma; and exposing the insulating film to a gas (excluding N2 and H2O gases) including at least one element selected from the group consisting of hydrogen, carbon, nitrogen and silicon, as an constituent element, wherein, in the exposing to the gas, after a relative permittivity of the insulating film descends by the exposing the insulating film to the gas, the exposing is completed before a time point when the relative permittivity of the insulating film first ascends.
    Type: Application
    Filed: May 20, 2011
    Publication date: September 15, 2011
    Applicant: FUJITSU LIMITED
    Inventors: Yasushi Kobayashi, Yoshihiro Nakata, Yuichi Minoura
  • Publication number: 20110220894
    Abstract: A semiconductor layer (100) according to the present invention includes a top surface (100o), a bottom surface (100u) and a side surface (100s). In a portion of the side surface (100s) which is in the vicinity of a border with the top surface (100o), a tangential line (T1) to the portion is inclined with respect to the normal to the bottom surface (100u). In a certain portion of the side surface (100s) which is farther from the top surface (100o) than the portion in the vicinity of the border, an angle made by a tangential line (2) to the certain portion and a plane defined by the bottom surface (100u) is larger than an angle made by the tangential line (T1) to the portion in the vicinity of the border and the plane defined by the bottom surface (100u).
    Type: Application
    Filed: November 2, 2009
    Publication date: September 15, 2011
    Inventor: Hiroaki Furukawa
  • Publication number: 20110223767
    Abstract: A method of recycling a control wafer having a low-k dielectric layer deposited thereon involves etching a portion of the low-k dielectric layer using a plasma resulting in a residual film of the low-k dielectric layer and byproduct particulates of carbon on the substrate. The residual dielectric film is removed by wet etching with a low polarization organic solvent that includes HF and a surfactant.
    Type: Application
    Filed: May 24, 2011
    Publication date: September 15, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Jin-Lin Liang, Yu-Sheng Su, Tai-Yung Yu, Perre Kao, Pin Chia Su, Li Te Hsu
  • Publication number: 20110223768
    Abstract: A method for forming contact openings is provided. First, a semiconductor device is formed on a substrate. Next, an etching stop layer, a first dielectric layer and a patterned photoresist layer are sequentially formed on the substrate. Next a portion of the first dielectric layer and a portion of the etching stop layer are removed to form an opening, wherein the portion of the first dielectric layer and the portion of the etching stop layer are not covered by the patterned photoresist layer. Next, the patterned photoresist layer is removed. Next, an over etching process is performed to remove the etching stop layer at a bottom of the opening and expose the semiconductor device in a nitrogen-free environment. The reactant gas of the over etching process includes fluorine-containing hydrocarbons, hydrogen gas and argon gas.
    Type: Application
    Filed: March 10, 2010
    Publication date: September 15, 2011
    Inventors: Ying-Chih LIN, Pei-Yu Chou, Jiunn-Hsiung Liao, Feng-Yi Chang, Chih-Wen Feng, Shang-Yuan Tsai
  • Publication number: 20110212622
    Abstract: A low cost method is described for forming a textured Si surface such as for a solar cell which includes forming a dielectric layer containing pinholes, anisotropically etching through the pinholes to form inverted pyramids in the Si surface and removing the dielectric layer thereby producing a high light trapping efficiency for incident radiation.
    Type: Application
    Filed: February 26, 2010
    Publication date: September 1, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Joel P. Desouza, Harold J. Hovel, Daniel Inns, Jeehwan Kim, Devendra K. Sadana, Katherine L. Saenger
  • Publication number: 20110207323
    Abstract: Vias are formed in a substrate using an etch process that forms an undercut profile below the mask layer. The vias are coated with a conformal insulating layer and an etch process is applied to the structures to remove the insulating layer from horizontal surfaces while leaving the insulating layers on the vertical sidewalls of the vias. The top regions of the vias are protected during the etchback process by the undercut hardmask.
    Type: Application
    Filed: February 25, 2010
    Publication date: August 25, 2011
    Inventor: Robert Ditizio
  • Patent number: 8003540
    Abstract: A method for manufacturing a semiconductor device includes forming an underlying layer over a semiconductor substrate; forming a hard mask layer over the underlying layer; forming first etch patterns over the hard mask layer; forming second etch patterns between the first photoresist patterns; etching the hard mask layer using the first and second etch patterns as an etch mask to form a hard mask pattern; and etching the underlying layer using at least the hard mask pattern. The first and second etch patterns are formed on the same layer.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: August 23, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Cheol Kyu Bok
  • Publication number: 20110201172
    Abstract: The disclosure relates to integrated circuit fabrication, and more particularly to a method for fabricating a semiconductor device. An exemplary method for fabricating the semiconductor device comprises providing a substrate; forming pad oxide layers over a frontside and a backside of the substrate; forming hardmask layers over the pad oxide layers on the frontside and the backside of the substrate; and thinning the hardmask layer over the pad oxide layer on the frontside of the substrate.
    Type: Application
    Filed: February 17, 2010
    Publication date: August 18, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Han-Guan CHEW, Ming Zhu, Lee-Wee Teo, Harry-Hak-Lay Chuang
  • Publication number: 20110198675
    Abstract: This disclosure relates to a spacer structure of a field effect transistor. An exemplary structure for a field effect transistor includes a substrate; a gate structure that has a sidewall overlying the substrate; a silicide region in the substrate on one side of the gate structure having an inner edge closest to the gate structure; a first oxygen-sealing layer adjoining the sidewall of the gate structure; an oxygen-containing layer adjoining the first oxygen-sealing layer on the sidewall and further including a portion extending over the substrate; and a second oxygen-sealing layer adjoining the oxygen-containing layer and extending over the portion of the oxygen-containing layer over the substrate, wherein an outer edge of the second oxygen-sealing layer is offset from the inner edge of the silicide region.
    Type: Application
    Filed: February 16, 2010
    Publication date: August 18, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Jin-Aun NG, Bao-Ru Young, Harry-Hak-Lay Chuang, Ryan Chia-Jen Chen
  • Publication number: 20110201206
    Abstract: An amorphous carbon film, which has excellent etching resistance and is capable of reducing reflectance when a resist film is exposed to light, is form. A method for manufacturing a semiconductor device includes forming an object film to be etched on a wafer, supplying a process gas containing a CO gas and an N2 gas into a processing container, forming an amorphous carbon nitride film from the supplied CO gas and N2 gas, forming a silicon oxide film on the amorphous carbon nitride film, forming an ArF resist film on the silicon oxide film, patterning the ArF resist film, etching the silicon oxide film by using the ArF resist film as a mask, etching the amorphous carbon nitride film by using the silicon oxide film as a mask, and etching the object film to be etched by using the amorphous carbon nitride film as a mask.
    Type: Application
    Filed: August 4, 2009
    Publication date: August 18, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hiraku Ishikawa, Eiichi Nishimura
  • Patent number: 7998863
    Abstract: A method of forming a contact structure and a contact structure so formed is described. The structure contacts an underlying layer of a semiconductor junction, wherein the junction comprises the underlying layer of a semiconductor material and is separated from an overlying layer of semiconductor material by creating an undercut region to shade subsequent metal formation. Various steps are performed using inkjet printing techniques.
    Type: Grant
    Filed: May 22, 2008
    Date of Patent: August 16, 2011
    Assignee: Newsourth Innovations Pty Limited
    Inventors: Stuart Ross Wenham, Ly Mai, Nicole Bianca Kuepper, Budi Tjahjono
  • Patent number: 7998876
    Abstract: A method of producing a semiconductor element includes the steps of forming a wiring portion layer on a substrate; forming an interlayer insulation layer over the substrate and the wiring portion layer, in which a third insulation film, a second insulation film, and a first insulation film are laminated in this order from the substrate; forming a mask pattern on the first insulation film; removing a contact hole forming area of the first insulation film through a wet etching process; removing a contact hole forming area of the second insulation film through an etching process; removing a contact hole forming area of the third insulation film through an etching process; and a contact hole forming step of forming a contact hole in the interlayer insulation layer so that a surface of the wiring portion layer is exposed.
    Type: Grant
    Filed: March 11, 2010
    Date of Patent: August 16, 2011
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Toshiyuki Orita
  • Publication number: 20110195576
    Abstract: A method of lithography patterning includes forming a first etch stop layer, a second etch stop layer, and a hard mask layer on a material layer. The materials of the first etch stop layer and the second etch stop layer are selected by the way that there is a material gradient composition between the second etch stop layer, the first etch stop layer, and the material layer. Hence, gradient etching rates between the second etch stop layer, the first etch stop layer, and the material layer are achieved in an etching process to form etched patterns with smooth and/or vertical sidewalls within the second and the first etch stop layers and the material layer.
    Type: Application
    Filed: February 8, 2010
    Publication date: August 11, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chung-Chi KO, Chih-Hao CHEN, Keng-Chu LIN
  • Patent number: 7993960
    Abstract: Provided are an electronic device including a bank structure and a method of manufacturing the same. The method of manufacturing the electronic device requires a fewer number of processes and comprises a direct patterning of insulating layers, such as fluorinated organic polymer layers, is possible using cost-efficient techniques such as inkjet printing.
    Type: Grant
    Filed: December 13, 2007
    Date of Patent: August 9, 2011
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Arthur Mathea, Joerg Fischer, Marcus Schaedig