Coating Formed From Vaporous Or Gaseous Phase Reaction Mixture (e.g., Chemical Vapor Deposition, Cvd, Etc.) Patents (Class 427/255.28)
  • Patent number: 8163341
    Abstract: Some embodiments include methods of forming metal-containing structures. A first metal-containing material may be formed over a substrate. After the first metal-containing material is formed, and while the substrate is within a reaction chamber, hydrogen-containing reactant may be used to form a hydrogen-containing layer over the first metal-containing material. The hydrogen-containing reactant may be, for example, formic acid and/or formaldehyde. Any unreacted hydrogen-containing reactant may be purged from within the reaction chamber, and then metal-containing precursor may be flowed into the reaction chamber. The hydrogen-containing layer may be used during conversion of the metal-containing precursor into a second metal-containing material that forms directly against the first metal-containing material. Some embodiments include methods of forming germanium-containing structures, such as, for example, methods of forming phase change materials containing germanium, antimony and tellurium.
    Type: Grant
    Filed: November 19, 2008
    Date of Patent: April 24, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Timothy A. Quick, Eugene P. Marsh
  • Patent number: 8162643
    Abstract: The present invention provides apparatus and methods for growing fullerene nanotube forests, and forming nanotube films, threads and composite structures therefrom. In some embodiments, an interior-flow substrate includes a porous surface and one or more interior passages that provide reactant gas to an interior portion of a densely packed nanotube forest as it is growing. In some embodiments, a continuous-growth furnace is provided that includes an access port for removing nanotube forests without cooling the furnace substantially. In other embodiments, a nanotube film can be pulled from the nanotube forest without removing the forest from the furnace. A nanotube film loom is described. An apparatus for building layers of nanotube films on a continuous web is described.
    Type: Grant
    Filed: June 4, 2010
    Date of Patent: April 24, 2012
    Inventors: Alexander B. Lemaire, Charles A. Lemaire, Leif T. Stordal, Dale J. Thomforde
  • Patent number: 8163342
    Abstract: A low-resistivity, doped zinc oxide coated glass article is formed by providing a hot glass substrate having a surface on which a coating is to be deposited, the surface being at a temperature of at least 400° C. A zinc containing compound, an oxygen-containing compound and an aluminum- or gallium-containing compound are directed to the surface on which the coating is to be deposited. The zinc containing compound, oxygen-containing compound, and aluminum- or gallium-containing compound are mixed together for a sufficient time that an aluminum or gallium doped zinc oxide coating is formed on the surface at a deposition rate of greater than 5 nm/second.
    Type: Grant
    Filed: May 3, 2007
    Date of Patent: April 24, 2012
    Assignees: Pilkington Group Limited, Arkema, Inc.
    Inventors: Jeffery L. Stricker, Ryan C. Smith, Michael B. Abrams, Roman Y. Korotkov, Gary S. Silverman, Kevin David Sanderson, Liang Ye, Guillermo Benito Gutiérrez
  • Publication number: 20120092410
    Abstract: A solvent resistant printhead having a barrier deposited and intercalating into the various polymeric materials on the printhead is disclosed. The deposition process may be performed at the various level of production depending on what material or surface requires protection from the solvent. The barrier may include a base coating and an outer coating. The base coating may include an intercalate layer deposited on the printhead and intercalating into the various polymeric materials and a tie layer deposited on the intercalate layer. The outer coating may be a self-assembled monolayer deposited on the base coating.
    Type: Application
    Filed: September 30, 2011
    Publication date: April 19, 2012
    Inventors: DAVID GRAHAM, Sean Weaver
  • Publication number: 20120094101
    Abstract: A method for treating a surface of a substrate. A functional chemical is applied onto the surface of the substrate for improving the adhesion of silicone to the substrate. The functional chemical is applied in an amount of at least 5 mg/m2 onto the surface of the substrate by using a steam application beam to form a functional chemical layer on the substrate. The functional chemical includes double bonds, silane hydride, or vinyl silane reactive groups, or oligomeric or polymeric hydrocarbon or polysiloxane compounds.
    Type: Application
    Filed: April 9, 2010
    Publication date: April 19, 2012
    Applicant: UPM-KYMMENE CORPORATION
    Inventors: Petri Tani, Harri Kosonen
  • Publication number: 20120094149
    Abstract: Atomic layer deposition is performed by reciprocating a susceptor in two directions, subjecting a substrate on the susceptor to two different sequences of processes. By subjecting the susceptor to different sequences of processes, the substrate undergoes different processes that otherwise would have required an additional set of injectors or reactors. The reduced number of injectors or reactors enables a more compact deposition device, and reduces the cost associated with the deposition device.
    Type: Application
    Filed: October 13, 2011
    Publication date: April 19, 2012
    Applicant: SYNOS TECHNOLOGY, INC.
    Inventors: Sang In Lee, Chang Wan Hwang
  • Patent number: 8158198
    Abstract: A tantalum nitride film-forming method comprises the steps of introducing a raw gas consisting of a coordination compound constituted by an elemental tantalum (Ta) having a coordinated ligand represented by the general formula: N?(R,R?) (in the formula, R and R? may be the same or different and each represents an alkyl group having 1 to 6 carbon atoms), and a halogen gas into a vacuum chamber; and reacting these components with one another on a substrate to thus form a surface adsorption film comprising a mono-atomic or multi (several)-atomic layer and composed of a compound represented by the following general formula: TaNx(Hal)y(R, R?)z (in the formula, Hal represents a halogen atom), then introducing radicals generated from an H atom-containing compound to thus remove Ta—N bonds present in the resulting compound through breakage thereof and remove, at the same time, the remaining R(R?) groups bonded to the N atoms present in the compound through the cleavage thereof and to thus form a tantalum nitride film
    Type: Grant
    Filed: March 3, 2006
    Date of Patent: April 17, 2012
    Assignee: ULVAC, Inc.
    Inventors: Narishi Gonohe, Satoru Toyoda, Harunori Ushikawa, Tomoyasu Kondo, Kyuzo Nakamura
  • Patent number: 8158197
    Abstract: A tantalum nitride film-forming method comprises the steps, according to the CVD technique, of introducing a raw gas consisting of a coordination compound constituted by an elemental tantalum (Ta) having a coordinated ligand represented by the general formula: N?(R, R?) (in the formula, R and R? may be the same or different and each represents an alkyl group having 1 to 6 carbon atoms) and a halogen gas into a film-forming chamber to thus form a film of a halogenated compound represented by the following general formula: TaNx(Hal)y(R, R?)z (in the formula, Hal represents a halogen atom), reacting the halogenated compound film with a hydrogen atom-containing gas by the introduction thereof into the chamber to thus form a tantalum nitride film rich in tantalum atoms. The resulting tantalum nitride film has a low resistance, low contents of C and N, and a high compositional ratio: Ta/N, can ensure high adherence to the electrical connection-forming film and can thus be useful as a barrier film.
    Type: Grant
    Filed: March 3, 2006
    Date of Patent: April 17, 2012
    Assignee: ULVAC, Inc.
    Inventors: Narishi Gonohe, Satoru Toyoda, Harunori Ushikawa, Tomoyasu Kondo, Kyuzo Nakamura
  • Publication number: 20120088031
    Abstract: The embodiments of the present invention describe a gas discharge pipe comprising a first discharge channel and at least one second discharge channel designed to be connected respectively to a first vacuum pump and to at least a second vacuum pump on the one hand and to a reactor outlet on the other hand, in which the first discharge channel and at least the second discharge channel comprise first means and at least second means for injecting an inert gas in which the direction of injection is respectively oriented opposite to the direction of suction of the vacuum pumps.
    Type: Application
    Filed: October 6, 2011
    Publication date: April 12, 2012
    Applicant: ADIXEN VACUUM PRODUCTS
    Inventor: Thierry NEEL
  • Patent number: 8151814
    Abstract: A method for controlling flow and concentration of a liquid precursor includes: supplying a carrier gas to a first auto-pressure regulator and outputting therefrom the carrier gas at a first pressure to a precursor reservoir; outputting the mixture of the vaporized precursor and the carrier gas from the precursor reservoir; and supplying the mixture to a second auto-pressure regulator and outputting therefrom the mixture at a second pressure to a reactor via an orifice.
    Type: Grant
    Filed: January 13, 2009
    Date of Patent: April 10, 2012
    Assignee: ASM Japan K.K.
    Inventors: Akira Shimizu, Akiko Kobayashi, Hiroki Kanayama
  • Patent number: 8147786
    Abstract: A film-forming apparatus includes a processing chamber, and TiCl4 gas and NH3 gas are supplied into the processing chamber for forming a TiN film on a substrate W in the processing chamber by CVD. The processing chamber has a gas exhaust system. The gas exhaust system includes a gas exhaust pipe for exhausting the exhaust gas in the processing chamber a trap mechanism provided to the gas exhaust pipe for trapping a by-product in the exhaust gas, and a heated reaction gas supply mechanism for supplying a heated reaction gas into the exhaust gas. The heated reaction gas is adapted to react with a component in the exhaust gas to produce a by-product. Specifically, NH3 gas is supplied by the heated reaction gas supply mechanism as the heated reaction gas, and NH4Cl is produced as the by-product.
    Type: Grant
    Filed: October 19, 2011
    Date of Patent: April 3, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Einosuke Tsuda
  • Patent number: 8148012
    Abstract: In a method for manufacturing a negative electrode for a battery, an active material layer including a metallic element M and an element A that is at least any one of oxygen, nitrogen, and carbon is formed on a current collector. This active material layer is irradiated with an X-ray and at least one of intensity of a K? ray of the element A and intensity of a K? ray of the metallic element M in fluorescent X-rays generated from the active material layer is measured.
    Type: Grant
    Filed: February 1, 2008
    Date of Patent: April 3, 2012
    Assignee: Panasonic Corporation
    Inventors: Hideharu Takezawa, Takayuki Shirane, Shinya Fujimura, Sadayuki Okazaki, Kazuyoshi Honda
  • Patent number: 8142848
    Abstract: A coated cemented carbide insert is particularly useful for wet or dry milling steels at high cutting speeds, milling of hardened steels, and high feed copy milling of tool steels. The insert is formed by a cemented carbide body including WC, NbC and TaC, a W-alloyed Co binder phase, and a coating including an innermost layer of TiCxNyOz, with equiaxed grains, a layer of TiCxNyOz with columnar grains and a layer of ?-Al2O3.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: March 27, 2012
    Assignee: Seco Tools AB
    Inventors: Andreas Larsson, Anna Sandberg
  • Publication number: 20120070581
    Abstract: Vapor deposition systems and methods associated with the same are provided. The systems may be designed to include features that can promote high quality deposition; simplify manufacture, modification and use; as well as, reduce the footprint of the system, amongst other advantages.
    Type: Application
    Filed: November 27, 2011
    Publication date: March 22, 2012
    Applicant: Cambridge Nano Tech Inc.
    Inventors: Douwe J. Monsma, Jill S. Becker
  • Patent number: 8137468
    Abstract: Embodiments of the invention provide an apparatus and a method for generating a gaseous chemical precursor that may be used in a vapor deposition processing system. In one embodiment, the apparatus contains a valve manifold assembly, which includes a valve assembly body having at least one embedded electric heater, an inlet channel passing through the valve assembly body, a first pneumatic valve and a first manual valve coupled to the valve assembly body and positioned to control fluid flow within the inlet channel, an outlet channel passing through the valve assembly body, and a second pneumatic valve and a second manual valve coupled to the valve assembly body and positioned to control fluid flow within the outlet channel. The valve manifold assembly further contains a bypass channel connected to and between the inlet and outlet channels, and containing a bypass valve positioned to control fluid flow within the bypass channel.
    Type: Grant
    Filed: March 17, 2009
    Date of Patent: March 20, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Kenric T. Choi, Son T. Nguyen
  • Patent number: 8133548
    Abstract: Provided a method for producing an oriented-porosity dielectric material on a substrate. The method includes depositing a vapor phase on a substrate of a composite layer comprising a material forming a matrix and a compound comprising chemical groups capable of being oriented under the effect of an electromagnetic field and/or photonic radiation; treating the composite layer to obtain the cross-linking of the material forming a matrix; and subjecting the substrate coated with the composite layer to an electromagnetic field and/or a photonic radiation.
    Type: Grant
    Filed: February 22, 2008
    Date of Patent: March 13, 2012
    Assignee: Commissariat a l'Energie Atomique
    Inventor: Aziz Zenasni
  • Publication number: 20120058630
    Abstract: A linear cluster deposition system includes a plurality of reaction chambers positioned in a linear horizontal arrangement. First and second reactant gas manifolds are coupled to respective process gas input port of each of the reaction chambers. An exhaust gas manifold having a plurality of exhaust gas inputs is coupled to the exhaust gas output port of each of the plurality of reaction chambers. A substrate transport vehicle transports at least one of a substrate and a substrate carrier that supports at least one substrate into and out of substrate transfer ports of each of the reaction chambers. At least one of a flow rate of process gas into the process gas input port of each of the reaction chambers and a pressure in each of the reaction chambers being chosen so that process conditions are substantially the same in at least two of the reaction chambers.
    Type: Application
    Filed: September 8, 2010
    Publication date: March 8, 2012
    Applicant: Veeco Instruments Inc.
    Inventors: William E. Quinn, Alexander Gurary, Ajit Paranjpe, Maria D. Ferreira, Roger P. Fremgen, JR., Eric A. Armour
  • Publication number: 20120048178
    Abstract: The invention provides a process for producing polycrystalline silicon, including introduction of a reaction gas containing a silicon-containing component and hydrogen by means of one or more nozzles into a reactor including at least one heated filament rod on which silicon is deposited, wherein an Archimedes number Arn which describes flow conditions in the reactor, as a function of the fill level FL which states the ratio of one rod volume to one empty reactor volume in percent, for a fill level FL of up to 5% is within the range limited at the lower end by the function Ar=2000×FL?0.6 and at the upper end by the function Ar=17 000×FL0.9, and at a fill level of greater than 5% is within a range from at least 750 to at most 4000.
    Type: Application
    Filed: August 22, 2011
    Publication date: March 1, 2012
    Applicant: WACKER CHEMIE AG
    Inventors: Marcus SCHAEFER, Oliver KRAETZSCHMAR
  • Publication number: 20120045588
    Abstract: A deposition method comprises flowing a first gas into a metallization zone maintained at a first pressure. A second gas flows into a reaction zone maintained at a second pressure. The second pressure is less than the first pressure. A rotating drum includes at least one substrate mounted to a surface of the drum. The surface alternately passes through the metallization zone and passes through the reaction zone. A target is sputtered in the metallization zone to create a film on the at least one substrate. The film on the at least one substrate is reacted in the reaction zone.
    Type: Application
    Filed: August 17, 2011
    Publication date: February 23, 2012
    Applicant: VAECO INC.
    Inventor: Richard DeVito
  • Patent number: 8114480
    Abstract: The invention relates to a method for deposition of at least one layer containing at least one first component on at least one substrate in a process chamber, wherein first and second starting materials are introduced in gaseous form into the process chamber in alternation cyclically, at least the first starting material of which contains the first component, to deposit essentially only one layer of the first component in each cycle.
    Type: Grant
    Filed: June 14, 2007
    Date of Patent: February 14, 2012
    Assignee: Aixtron Inc.
    Inventors: Peter Baumann, Johannes Lindner
  • Patent number: 8114479
    Abstract: This invention relates to a vapor or liquid phase reagent dispensing apparatus having a diptube and also a metal seal aligned and in contact with hardened opposing flat surfaces of a top wall member and a protuberance on a side wall member, wherein the hardened opposing flat surfaces of the top wall member and the protuberance have a hardness greater than the hardness of the metal seal. The dispensing apparatus may be used for dispensing of reagents such as precursors for deposition of materials in the manufacture of semiconductor materials and devices.
    Type: Grant
    Filed: May 5, 2011
    Date of Patent: February 14, 2012
    Assignee: Praxair Technology, Inc.
    Inventors: Ronald F. Spohn, David Walter Peters
  • Patent number: 8110043
    Abstract: A methodology and system for applying coatings onto the interior surfaces of components, includes a vapor creation device, a vacuum chamber having a moderate gas pressure and an inert gas jet having controlled velocity and flow fields. The gas jet is created by a rarefied, inert gas supersonic expansion through a nozzle. By controlling the carrier gas flow into a region upstream of the nozzle an upstream pressure is achieved. The carrier gas flow and chamber pumping rate control the downstream pressure. The ratio of the upstream to downstream pressure along with the size and shape of the nozzle opening controls the speed of the gas entering the chamber. Vapor created from a source is transported into the interior regions of a component using binary collisions between the vapor and gas jet atoms. These collisions enable the vapor atoms to scatter onto the interior surfaces of the component and deposit.
    Type: Grant
    Filed: January 10, 2005
    Date of Patent: February 7, 2012
    Assignee: University of Virginia Patent Foundation
    Inventors: Derek D. Hass, Haydn N. G. Wadley
  • Publication number: 20120021502
    Abstract: A method of fabricating biochip sensor comprising providing a precursor; depositing the precursor on a substrate to form a coating; and rapid melting/quenching treatment of the coating with an energy source to form micro/nanotextured surface with enhanced reflectance for fast chemiluminescence response of E-Coli bacteria.
    Type: Application
    Filed: July 21, 2011
    Publication date: January 26, 2012
    Applicant: MRIDANGAM RESEARCH INTELLECTUAL PROPERTY TRUST
    Inventors: Pravansu S. Mohanty, Ramesh K. Guduru
  • Publication number: 20120021128
    Abstract: Systems and methods for depositing a thin film on a flexible substrate involve guiding the flexible substrate along a spiral transport path back and forth between spaced-apart first and second precursor zones so that the substrate transits through the first and second precursor zones multiple times and each time through an intermediate isolation zone without mechanically contacting an outer surface of the substrate with a substrate transport mechanism, thereby inhibiting mechanical damage to the thin film deposited on the outer surface, which may improve barrier layer performance of the thin film.
    Type: Application
    Filed: July 22, 2011
    Publication date: January 26, 2012
    Applicant: Lotus Applied Technology, LLC
    Inventor: Eric R. Dickey
  • Patent number: 8101237
    Abstract: Methods and compositions for depositing a tellurium containing film on a substrate are disclosed. A reactor and at least one substrate disposed in the reactor are provided. A tellurium containing precursor is provided and introduced into the reactor, which is maintained at a temperature of at least 100° C. Tellurium is deposited on to the substrate through a deposition process to form a thin film on the substrate.
    Type: Grant
    Filed: May 29, 2009
    Date of Patent: January 24, 2012
    Assignee: L'Air Liquide SociétéAnonyme pour I'Etude et I'Exploitation des Procédés Georges Claude
    Inventors: Shingo Okubo, Kazutaka Yanagita, Julien Gatineau
  • Patent number: 8101521
    Abstract: The methods described herein relate to deposition of low resistivity, highly conformal tungsten nucleation layers. These layers serve as a seed layers for the deposition of a tungsten bulk layer. The methods are particularly useful for tungsten plug fill in which tungsten is deposited in high aspect ratio features. The methods involve depositing a nucleation layer by a combined PNL and CVD process. The substrate is first exposed to one or more cycles of sequential pulses of a reducing agent and a tungsten precursor in a PNL process. The nucleation layer is then completed by simultaneous exposure of the substrate to a reducing agent and tungsten precursor in a chemical vapor deposition process. In certain embodiments, the process is performed without the use of a borane as a reducing agent.
    Type: Grant
    Filed: December 11, 2009
    Date of Patent: January 24, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Juwen Gao, Lana Hiului Chan, Panya Wongsenakhum
  • Patent number: 8101235
    Abstract: Apparatus for vaporizing a particulate material, comprising a metering apparatus including: a reservoir; a housing having an internal volume and first and second openings for respectively receiving and discharging the particulate material; a rotatable shaft disposed in the internal volume, the shaft having a smooth surface and a circumferential groove for receiving particulate material from the reservoir and for discharging the particulate material; the rotatable shaft and the internal volume cooperating such that the particulate material is transported by the circumferential groove and not along the remainder of the rotatable shaft; a scraper disposed in relation to the second opening, having at its end substantially the same cross section as the groove in the rotating shaft, the scraper cooperating with the groove to dislodge particulate material retained therein, and in response to the shaft rotating, delivers metered amounts of particulate material through the second opening; to the flash evaporator.
    Type: Grant
    Filed: December 3, 2010
    Date of Patent: January 24, 2012
    Assignee: Global OLED Technology LLC
    Inventors: Michael Long, Thomas W. Palone, Bruce E. Koppe, Michael L. Boroson
  • Publication number: 20120015279
    Abstract: Disclosed is a dense thin film, a fuel cell using the same and fabrication methods thereof. A method for fabricating a dense thin film comprises (1) forming a first thin film on a porous surface, and (2) forming, on a surface of the first thin film, a second thin film made of a homogeneous material with respect to the first thin film, thereby removing pinholes of the first thin film. The method for fabricating a dense thin film may comprise (1?) forming a first thin film on a porous surface, (2?) forming, on a surface of the first thin film, a second thin film made of a to heterogeneous material with respect to the first thin film, thereby removing pinholes of the first thin film, and (3?) etching a surface of the second thin film.
    Type: Application
    Filed: July 5, 2011
    Publication date: January 19, 2012
    Applicant: KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY
    Inventors: Ji-Won SON, Hae-Weon LEE, Chang Woo KWON, Ki-Bum KIM, Jong Ho LEE
  • Patent number: 8097299
    Abstract: The present invention is an organoruthenium compound for use in production of a ruthenium or ruthenium compound thin film by chemical vapor deposition, including ruthenium and an arene group and norbornadiene both coordinated to the ruthenium and represented by the following formula. The present invention is an organoruthenium compound for use in chemical vapor deposition which does not require the coexistence of oxygen during the thin film formation, and moreover, is liquid at ordinary temperature, thereby having good handleability and recyclability. wherein the substituents, R1 to R6, of the arene group are each hydrogen or an alkyl group, and the total number of carbons of R1 to R6 (R1+R2+R3+R4+R5+R6) is 6 or less.
    Type: Grant
    Filed: September 27, 2007
    Date of Patent: January 17, 2012
    Assignee: Tanaka Kikinzoku Kogyo
    Inventors: Junichi Taniuchi, Masayuki Saito, Minoru Ishida
  • Patent number: 8097302
    Abstract: Tin oxide having high mobility and a low electron concentration, and methods for producing layers of the tin oxide layers on a substrate by atmospheric pressure chemical vapor deposition (APCVD) are disclosed. The tin oxide may undoped polycrystalline n-type tin oxide or it may be doped polycrystalline p-type tin oxide. When the layer of tin oxide is formed on a crystalline substrate, substantially crystalline tin oxide is formed. Dopant precursors for producing doped p-type tin oxide are also disclosed.
    Type: Grant
    Filed: January 8, 2010
    Date of Patent: January 17, 2012
    Assignee: Arkema Inc.
    Inventors: Roman Y. Korotkov, David A. Russo, Thomas D. Culp, Gary S. Silverman, Pierre Beaujuge
  • Publication number: 20120009355
    Abstract: A method and apparatus for stabilizing an incidental coating in a substrate coating apparatus is provided. The method includes defining interior surfaces of a coating zone in the substrate coating apparatus. The method may include preheating interior surfaces to a local preheat temperature that is approximately equal to a local coating temperature attained by the surfaces during coating of a substrate, at least partially defining the interior surfaces with a compliant fabric, or at least partially defining the interior surfaces with a compliant fabric and preheating the interior surfaces.
    Type: Application
    Filed: September 16, 2011
    Publication date: January 12, 2012
    Applicant: EXATEC, LLC
    Inventors: Steven M. Gasworth, Michael R. Haag, Scott Corneillie
  • Patent number: 8092861
    Abstract: A fabrication method of an ultra low-k dielectric layer is provided. A deposition process is performed, under the control of a temperature varying program or a pressure varying program, by reacting a dielectric matrix to form porous low-k dielectric layers with a gradient density on a barrier layer over a substrate.
    Type: Grant
    Filed: September 5, 2007
    Date of Patent: January 10, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Mei-Ling Chen, Su-Jen Sung, Chien-Chung Huang
  • Patent number: 8092721
    Abstract: Methods and compositions for the deposition of ternary oxide films containing ruthenium and an alkali earth metal.
    Type: Grant
    Filed: March 26, 2009
    Date of Patent: January 10, 2012
    Assignees: L'Air Liquide Societe Anonyme pour l'Etude Et l'Exploitation des Procedes Georges Claude, American Air Liquide, Inc.
    Inventors: Satoko Gatineau, Julien Gatineau, Christian Dussarrat
  • Publication number: 20120003497
    Abstract: Coated articles and methods and systems for coating the articles are described herein. The methods and systems described herein include, but are not limited to, steps for actively or passively controlling the temperature during the coating process, steps for providing intimate contact between the substrate and the support holding the substrate in order to maximize energy transfer, and/or steps for preparing gradient coatings. Methods for depositing high molecular weight polymeric coatings, end-capped polymer coatings, coatings covalently bonded to the substrate or one another, metallic coatings, and/or multilayer coatings are also disclosed. Deposition of coatings can be accelerated and/or improved by applying an electrical potential and/or through the use of inert gases.
    Type: Application
    Filed: December 28, 2010
    Publication date: January 5, 2012
    Inventors: Erik S. Handy, Aleksander J. White, W. Shannan O'Shaughnessy, Hilton G. Pryce Lewis, Neeta P. Bansal, Karen K. Gleason
  • Publication number: 20110318490
    Abstract: A coating apparatus and method for depositing a coating that contains at least one first element on a substrate by an activated vapor deposition, wherein the substrate is introduced into a gas atmosphere that contains at least the first element, and the gas atmosphere is activated by a heated activation element, wherein the first element is selected from among silicon, germanium, carbon, boron, or nitrogen, and the material of the activation element contains at least one metal and at least one second element, wherein the second element is selected from among silicon, boron, germanium, carbon, and/or nitrogen and is different from the first element.
    Type: Application
    Filed: March 1, 2010
    Publication date: December 29, 2011
    Applicant: FRAUNHOFER GESELLSCHAFT ZUR FÖRDERUNG DER ANGEWANDTEN FORSCHUNG E.V.
    Inventors: Lothar Schäfer, Markus Höfer, Tino Harig, Artur Laukart
  • Patent number: 8084088
    Abstract: Wafer-to-wafer thickness uniformity may be improved significantly in a process for depositing a silicon nitride layer in that the flow rate of the reactant and the chamber pressure are varied during a deposition cycle. By correspondingly adapting the flow rate and/or the chamber pressure before and after the actual deposition step, the process conditions may be more effectively stabilized, thereby reducing process variations, even after non-deposition phases of the deposition tool, such as a preceding plasma clean process or an idle period of the tool.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: December 27, 2011
    Assignee: Globalfoundries Inc.
    Inventors: Katja Huy, Hartmut Ruelke, Michael Turner
  • Patent number: 8084102
    Abstract: Methods for supplying one or more vapors, under reduced pressure, to an environment are provided. The vapor may comprise at least one polymerizable component. In some cases, at least two components may be combined to form the vapor. The components may be provided as separate vapor streams, which may be combined and homogenized. Methods of the invention may also be useful in the deposition of materials on the surface of a substrate. In some cases, the material may form a layer, such as a polymer layer, on the surface of a substrate. The present invention may be useful in applications that require the formation of homogeneous films on the surface of a substrate.
    Type: Grant
    Filed: March 23, 2007
    Date of Patent: December 27, 2011
    Assignee: Sion Power Corporation
    Inventor: John D. Affinito
  • Patent number: 8084087
    Abstract: A method of growing spatially-separated and size-controlled particles on substrate surfaces is provided. The method utilizes chemical modification of the substrate surface, an atomic layer deposition (ALD) system, providing a modified layer to the substrate surface and providing an ALD material for nanoparticle deposition. The method induces a Volmer-Weber growth method, where islands of the nanoparticles are formed on the surface. The modified layer controls a number of nucleation sites on the surface, where controlling the number of ALD cycles limits an amount of deposited the material for discrete the nanoparticles.
    Type: Grant
    Filed: February 14, 2008
    Date of Patent: December 27, 2011
    Assignees: The Board of Trustees of the Leland Stanford Junior University, Honda Motor Co., Ltd.
    Inventors: Stacey F. Bent, Rong Chen, Xirong Jiang, Marja N. Mullings, Yuji Saito
  • Publication number: 20110305836
    Abstract: An atomic layer deposition apparatus, which forms a thin film on a substrate, includes a first container that defines a first inner space and includes a substrate carrying-in and carrying-out port and a gas introduction port in different positions, the substrate being carried in and out through the substrate carrying-in and carrying-out port, gas being introduced through the gas introduction port to form the thin film on the substrate, a second container that is provided in the first container to define a second inner space separated from the first inner space, the second container including a first opening, a first moving mechanism that moves the second container in a predetermined direction, and a controller that controls the first moving mechanism such that the second container is moved to a first position where the substrate carrying-in and carrying-out port and the first opening are located opposite each other when the substrate is carried in and out, the controller controlling the first moving mechanism
    Type: Application
    Filed: March 3, 2010
    Publication date: December 15, 2011
    Applicant: MITSUI ENGINEERING & SHIPBUILDING CO., LTD.
    Inventors: Kazutoshi Murata, Yasunari Mori
  • Publication number: 20110305837
    Abstract: A method for ionic polymerization of ethylene oxide. In the first step of the method, a gaseous monomer composition comprising ethylene oxide at a first flow rate is mixed with a gaseous ionic polymerization initiator at a second flow rate, thereby forming a mixture. The formed mixture is then heated with at least one heated filament to thereby form at least one polymer. The method may also be employed to coat a variety of different substrates in situ during the polymerization reaction.
    Type: Application
    Filed: March 17, 2010
    Publication date: December 15, 2011
    Applicant: DREXEL UNIVERSITY
    Inventors: Kenneth K.S. Lau, Ranjita K. Bose
  • Publication number: 20110296873
    Abstract: Provided is a jewelry ring comprising a substrate, a first coating of a metallic nitride or a metallic boride, and an external metallic coating. Also provided is a metallic article comprising a substrate comprising tungsten carbide, cobalt, tungsten, titanium, titanium carbide, zirconium, tantalum or aluminum; a first coating of a metallic nitride or a metallic boride; and an external metallic coating. A method for making a jewelry ring comprising a substrate, a first coating of a metallic nitride or a metallic boride, and an external metallic coating is additionally provided. Further provided is a method for making a metallic article comprising a substrate comprising tungsten carbide, cobalt, tungsten, titanium, titanium carbide, zirconium, tantalum or aluminum; a first coating of a metallic nitride or a metallic boride; and an external metallic coating.
    Type: Application
    Filed: June 2, 2011
    Publication date: December 8, 2011
    Applicant: FREDERICK GOLDMAN INC.
    Inventor: Andrew Derrig
  • Patent number: 8071237
    Abstract: In a negative electrode active material for a lithium ion secondary battery including a silicon oxide capable of absorbing and desorbing lithium ions, a silicon oxide having structural units each in the form of a tetrahedron in which a silicon atom is located at its center and silicon or oxygen atoms are located at its four vertices is used. The structural units are arranged randomly to form an amorphous structure. In the case that the number of oxygen atoms located at the four vertices in the structural units is represented by n (n=0, 1, 2, 3 or 4) and the structural units are represented by Si(n), the number of the structural units NSi(n) in the silicon oxide satisfies the following relations (1) to (3). [ Formula ? ? 1 ] NSi ? ( 0 ) ? NSi ? ( n ) ? 0.1 ( 1 ) Nsi ? ( 4 ) ? NSi ? ( n ) ? 0.
    Type: Grant
    Filed: November 24, 2006
    Date of Patent: December 6, 2011
    Assignee: Panasonic Corporation
    Inventors: Kazuya Iwamoto, Shuji Ito
  • Publication number: 20110293832
    Abstract: The invention relates to an apparatus and a method for depositing one or more thin layers of polymeric para-xylylene. Said apparatus comprises a heated evaporator (1) used for evaporating a solid or liquid starting material. A supply pipe (11) for a carrier gas extends into said evaporator (1). The carrier gas conducts the evaporated starting material, in particular the evaporated polymer, into a pyrolysis chamber (2) which is located downstream of the evaporator (1) and in which the starting material is decomposed. The apparatus further comprises a deposition chamber (8) which is located downstream of the decomposition chamber (2) and encompasses a gas inlet (3) through which the decomposed product conducted by the carrier gas is admitted, a susceptor (4) which has a supporting surface (4?) opposite the gas inlet (3) in order to support a substrate (7) that is to be coated with the polymerized decomposed product, and a gas outlet (5).
    Type: Application
    Filed: June 3, 2009
    Publication date: December 1, 2011
    Applicant: AIXTRON AG
    Inventors: Markus Gersdorff, Pagadala Gopi Baskar, Nico Meyer
  • Publication number: 20110293831
    Abstract: Described is a linear batch CVD system that includes a deposition chamber, one or more substrate carriers, gas injectors and a heating system. Each substrate carrier is disposed in the deposition chamber and has at least one receptacle configured to receive a substrate. The substrate carriers are configured to hold substrates in a linear configuration. Each gas injector includes a port configured to supply a gas in a uniform distribution across one or more of the substrates. The heating system includes at least one heating element and a heating control module for uniformly controlling a temperature of the substrates. The system is suitable for high volume CVD processing of substrates. The narrow width of the deposition chamber enables a uniform distribution of precursor gases across the substrates along the length of the reaction chamber and permits a greater number of substrates to be processed in comparison to conventional deposition chambers.
    Type: Application
    Filed: May 25, 2010
    Publication date: December 1, 2011
    Applicant: AVENTA SYSTEMS, LLC
    Inventor: Piero Sferlazzo
  • Patent number: 8067062
    Abstract: A platinum-based nano catalyst supported carbon nano tube electrode and a manufacturing method thereof, more particularly to a manufacturing method of a carbon nano tube electrode and a carbon nano tube electrode supported with the platinum-based catalyst by growing the carbon nano tube on the surface of the carbon paper and using a CVD method on the surface of the carbon nano tube. By growing the carbon nano tube directly, the broad surface area and excellent electric conductivity of the carbon nano tube can be utilized maximally, and especially, the nano catalyst particles with minute sizes on the surface of the carbon nano tube by using the CVD method as a supporting method of the platinum-based catalyst on the surface of the carbon nano tube, the amount of the platinum can be minimized and still shows an efficient catalyst effect and by improving the catalyst activity by increasing the distribution, so academic and industrial application in the future is highly expected.
    Type: Grant
    Filed: December 10, 2007
    Date of Patent: November 29, 2011
    Assignee: Korea Institute of Energy Research
    Inventors: Hee-Yeon Kim, Nam-Jo Jeong, Seung-Jae Lee, Kwang-Sup Song
  • Patent number: 8053029
    Abstract: Disclosed is a method for fabricating a CuInS2 thin film by metal-organic chemical vapor deposition (MOCVD). The method comprises fabricating a copper thin film by depositing an asymmetric copper precursor on a substrate by MOCVD and fabricating a CuInS2 thin film by depositing an indium-sulfur-containing precursor on the copper thin film by MOCVD. The method enables fabrication of a CuInS2 thin film with a constant composition even under vacuum as well as an argon (Ar) atmosphere. Disclosed is further a CuInS2 thin film fabricated by the method. Disclosed is further a method for fabricating an In2S3 thin film for a window of a solar cell via deposition of an indium-sulfur-containing precursor on the CuInS2 thin film by MOCVD. Disclosed further is an In2S3 thin film fabricated by the method. The In2S3 thin film is useful for a substitute for CdS conventionally used for windows of solar cells and contributes to simplification in fabrication process of solar cells.
    Type: Grant
    Filed: July 10, 2007
    Date of Patent: November 8, 2011
    Assignees: Samsung SDI Co., Ltd., Samsung Electronics Co., Ltd., Seoul National University Industry Foundation, Chung-Ang University Industry-Academy Cooperation Foundation
    Inventors: Il Wun Shim, Seung Soo Lee, Kook Won Seo, Jong Pil Park
  • Patent number: 8052795
    Abstract: A catalyst-enhanced chemical vapor deposition (CECVD) apparatus and a deposition method, in which tension is applied to a catalyst wire in order to prevent the catalyst wire from sagging due to thermal deformation, and additional gas is used to prevent foreign material from being generated. The CECVD apparatus may be constructed with a process chamber, a showerhead to introduce process gas into process chamber, a tensile catalyst wire structure provided in the process chamber to decompose the gas introduced from the showerhead, and a substrate on which the gas decomposed by the catalyst wire structure is deposited, so that the tension is applied to a catalyst wire in order to prevent the catalyst wire from sagging due to thermal deformation, and additional gas is used to prevent foreign material from being generated, thereby eliminating occurrences of non-uniform temperatures of a substrate and non-uniform film growth, and concomitantly enhancing the durability of the catalyst wire.
    Type: Grant
    Filed: April 18, 2006
    Date of Patent: November 8, 2011
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Hee-Cheol Kang, Kazuo Furuno, Han-Ki Kim, Myoung-Soo Kim
  • Publication number: 20110268880
    Abstract: A method and apparatus for performing chemical vapor deposition (CVD) processes is provided. In one embodiment, the apparatus comprises a reactor body having a processing region, comprising a wafer carrier track having a wafer carrier disposed thereon, at least one sidewall having an exhaust assembly for exhausting gases from the processing region, a lid assembly disposed on the reactor body, comprising a lid support comprising a first showerhead assembly for supplying reactant gases to the processing region, a first isolator assembly for supplying isolation gases to the processing region, a second showerhead assembly for supplying reactant gases to the processing region, and a second isolator assembly for supplying isolation gases to the processing region, wherein the first showerhead assembly, the first isolator assembly, the second showerhead assembly, and the second isolator assembly are consecutively and linearly disposed next to each other.
    Type: Application
    Filed: October 27, 2010
    Publication date: November 3, 2011
    Applicant: ALTA DEVICES, INC.
    Inventor: David P. BOUR
  • Publication number: 20110262641
    Abstract: An inline CVD system includes a manifold and a continuous transport system. The manifold has a plurality of ports. The ports include a first precursor port, a pair of second precursor ports and a pair of pumping ports. The first precursor port is disposed between the second precursor ports and the pair of second precursor ports is disposed between the pumping ports. The first precursor port and the pair of second precursor ports are configured for coupling to a first precursor gas source and a second precursor gas source, respectively, and the pumping ports are configured to couple to a discharge system to exhaust the first and second precursor gases during a CVD process. The continuous transport system transports a substrate adjacent to the plurality of ports during the CVD process.
    Type: Application
    Filed: April 26, 2010
    Publication date: October 27, 2011
    Applicant: AVENTA SYSTEMS, LLC
    Inventors: Piero Sferlazzo, Gary S. Tompa
  • Publication number: 20110262740
    Abstract: The present disclosure relates, in part, to Parylene based conformal coating compositions having improved properties, e.g., improved heat transfer and durability characteristics, as well as a methods and apparatus to coat objects with these compositions, and objects coated with these compositions. In some aspects, coating compositions comprising Parylene and boron nitride are disclosed. The disclosure also includes objects (e.g., electronic equipment, textiles, etc.) having a conformal coating comprising a Parylene compound and boron nitride.
    Type: Application
    Filed: March 5, 2009
    Publication date: October 27, 2011
    Applicant: Northeast Maritime Institute, Inc.
    Inventors: Sidney Edward Martin, III, Eric Roger Dawicki, Angela Michele Dawicki