Coating Formed From Vaporous Or Gaseous Phase Reaction Mixture (e.g., Chemical Vapor Deposition, Cvd, Etc.) Patents (Class 427/255.28)
  • Patent number: 7923070
    Abstract: This invention includes atomic layer deposition methods of forming conductive metal nitride comprising layers. In one implementation, an atomic layer deposition method of forming a conductive metal nitride comprising layer includes positioning a substrate within a deposition chamber. A first species is chemisorbed to form a first species monolayer onto the substrate from a gaseous first precursor comprising at least one of an amido metal organic compound or an imido metal organic compound. The first species monolayer comprises organic groups. The chemisorbed first species is contacted with a second precursor plasma effective to react with the first species monolayer to remove organic groups from the first species monolayer. The chemisorbing and contacting are successively repeated under conditions effective to form a layer of material on the substrate comprising a conductive metal nitride.
    Type: Grant
    Filed: July 31, 2006
    Date of Patent: April 12, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Brenda D. Kraus, Eugene P. Marsh
  • Patent number: 7923069
    Abstract: A multi-station deposition apparatus capable of simultaneous processing multiple substrates using a plurality of stations, where a gas curtain separates the stations. The apparatus further comprises a multi-station platen that supports a plurality of wafers and rotates the wafers into specific deposition positions at which deposition gases are supplied to the wafers. The deposition gases may be supplied to the wafer through single zone or multi-zone gas dispensing nozzles.
    Type: Grant
    Filed: August 20, 2010
    Date of Patent: April 12, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Mei Chang, Lawrence C. Lei, Walter B. Glenn
  • Patent number: 7919143
    Abstract: A carrier for an object, preferably a substrate of a semiconductor component such as a wafer, includes a receiving element for the object and gas outlets arranged below the receiving element along the object received. At least sections of the carrier are made of a material which including stabilizing fibers and having a porosity which forms the gas outlets, in order to enable a desired gas to exit from the gas outlets in a dosed and finely distributed manner.
    Type: Grant
    Filed: December 6, 2004
    Date of Patent: April 5, 2011
    Assignee: Schunk Kohlensteofftechnik GmbH
    Inventor: Stefan Schneweis
  • Publication number: 20110076403
    Abstract: Apparatus for vaporizing a particulate material, comprising a metering apparatus including: a reservoir; a housing having an internal volume and first and second openings for respectively receiving and discharging the particulate material; a rotatable shaft disposed in the internal volume, the shaft having a smooth surface and a circumferential groove for receiving particulate material from the reservoir and for discharging the particulate material; the rotatable shaft and the internal volume cooperating such that the particulate material is transported by the circumferential groove and not along the remainder of the rotatable shaft; a scraper disposed in relation to the second opening, having at its end substantially the same cross section as the groove in the rotating shaft, the scraper cooperating with the groove to dislodge particulate material retained therein, and in response to the shaft rotating, delivers metered amounts of particulate material through the second opening; to the flash evaporator.
    Type: Application
    Filed: December 3, 2010
    Publication date: March 31, 2011
    Inventors: Michael LONG, Thomas W. Palone, Bruce E. Koppe, Michael L. Boroson
  • Publication number: 20110076421
    Abstract: A vapor deposition reactor and a method for forming a thin film. The vapor deposition reactor includes first to third portions arranged along an arc of a circle. The first portion includes at least one first injection portion for injecting a material to a recess in the first portion. The second portion is adjacent to the first portion and has a recess communicatively connected to the recess of the first portion. The third portion is adjacent to the second portion and has a recess communicatively connected to the recess of the second portion and an exhaust portion for discharging the material from the vapor deposition reactor.
    Type: Application
    Filed: September 24, 2010
    Publication date: March 31, 2011
    Applicant: SYNOS TECHNOLOGY, INC.
    Inventor: Sang In LEE
  • Patent number: 7914849
    Abstract: A new and refined method to produce ?-Al2O3 layers in a temperature range of from about 750 to about 1000° C. with a controlled growth texture and substantially enhanced wear resistance and toughness than the prior art is disclosed. The ?-Al2O3 layer of the present invention is formed on a bonding layer of (Ti,Al)(C,O,N) with increasing aluminium content towards the outer surface. Nucleation of ?-Al2O3 is obtained through a nucleation step being composed of short pulses and purges consisting of Ti/Al-containing pulses and oxidising pulses. The ?-Al2O3 layer according to the present invention has a thickness ranging from about 1 to about 20 ?m and is composed of columnar grains. The length/width ratio of the alumina grains is from about 2 to about 12, preferably from about 4 to about 8. The layer is characterized by a strong (104) growth texture, measured using XRD, and by low intensity of (012), (110), (113), (024) and (116) diffraction peaks.
    Type: Grant
    Filed: August 22, 2008
    Date of Patent: March 29, 2011
    Assignee: Seco Tools AB
    Inventor: Sakari Ruppi
  • Publication number: 20110070141
    Abstract: A method of depositing a material on a substrate comprises placing a substrate into a process space in fluidic communication with a Gaede pump stage (GPS). A precursor gas is then injected into the process space while injecting a draw gas at a draw gas flow rate into the GPS such that the injected precursor gas achieves a precursor pressure and a precursor gas flow rate in the process space. Subsequently, substantially all of the precursor gas remaining in the process space is swept from the process space by injecting a sweep gas into the process space such that the injected sweep gas achieves a sweep pressure and sweep gas flow rate in the process space. The precursor pressure is higher than the sweep pressure, and the precursor gas flow rate is lower than the sweep gas flow rate.
    Type: Application
    Filed: May 6, 2009
    Publication date: March 24, 2011
    Applicant: Sundew Technologies LLC
    Inventor: Ofer Sneh
  • Publication number: 20110070370
    Abstract: A chemical vapor deposition (CVD) apparatus is configured for thermal gradient enhanced CVD operation by the inclusion of multiple heaters, positioned so as to provide a desired thermal gradient profile across a vertical dimension of a substrate or other work piece within the chamber. So configured, the chamber may also be used for controlled growth of thin films via diffusion through intermediate films, either top down or bottom parallel to the direction of the thermal gradient.
    Type: Application
    Filed: November 25, 2010
    Publication date: March 24, 2011
    Applicant: AIXTRON AG
    Inventors: Kenneth B. K. Teo, Nalin L. Rupesinghe
  • Patent number: 7909928
    Abstract: Reactive polymer coatings that undergo regioselective reactions with target biomolecules are provided. The polymers of the coatings are deposited via chemical vapor deposition and comprise one or more functional groups that exhibit regioselective bonding with a functional group or a target molecule. Such polymers include poly-xylylenes having functional groups such as alkynes or azides. The regioselective bonding of the reactive polymers provide stable immobilization of target molecules and/or ligands and can create biofunctional surfaces having a wide range of applications.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: March 22, 2011
    Assignee: The Regents of The University of Michigan
    Inventors: Joerg Lahann, Himabindu Nandivada, Hsien-Yeh Chen
  • Publication number: 20110064879
    Abstract: Methods of vapor depositing metal-containing films using certain organometallic compounds containing a carbonyl-containing ligand are disclosed.
    Type: Application
    Filed: September 14, 2010
    Publication date: March 17, 2011
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventors: Qing Min Wang, Deodatta Vinayak Shenai-Khatkhate, Huazhi Ll
  • Patent number: 7906174
    Abstract: Methods of preparing low-k carbon-doped oxide (CDO) films having high mechanical strength are provided. The methods involve contacting the substrate with a CDO precursor to deposit the film typically using a plasma-enhanced chemical vapor deposition (PECVD) method. After the film is deposited, it is exposed to ultraviolet radiation in a manner that increases cross-linking and/or lowers the dielectric constant of the film. The resulting films have ultra-low dielectric constants, e.g., about 2.5, but also high mechanical strength, e.g., a modulus of at least about 7.5 GPa. In certain embodiments, a single hydrocarbon precursor is used, resulting in an improved process for obtaining ULK films that does not require dual (porogen and backbone) precursors.
    Type: Grant
    Filed: December 7, 2006
    Date of Patent: March 15, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Qingguo Wu, Easwar Srinivasan, Dan Vitkavage
  • Patent number: 7901603
    Abstract: Herein is disclosed a method for modifying the surface adhesion characteristics of expanded foams and beads or other expanded structures or components formed therefrom, whereby the expanded foams and beads or other expanded structures or components formed therefrom, are contacted with a composition containing at least one oxidizing agent and an activator. The oxidizing agent in the composition is present in a kinetically degrading state capable of producing at least one chemical intermediate that is reactive with the expanded foams and beads or other expanded structures or components wherein the expanded foams and beads or other expanded structures or components formed therefrom, are maintained for an interval sufficient to produce or modify functional groups in the polymeric substrate/virgin polymeric material. The oxidizing agent of choice is a halogenated bivalent oxygen compound.
    Type: Grant
    Filed: June 21, 2005
    Date of Patent: March 8, 2011
    Inventor: Lars Guenter Beholz
  • Patent number: 7901743
    Abstract: A method and system for treating a dielectric film on a plurality of substrates includes disposing the plurality of substrates in a batch processing system, the dielectric film on the plurality of substrates having a dielectric constant value less than the dielectric constant of SiO2. The plurality of substrates are heated, and a treating compound comprising a CxHy containing compound, wherein x and y represent integers greater than or equal to unity is introduced to the process system. A plasma is formed and at least one surface of the dielectric film on said plurality of substrates is exposed to the plasma.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: March 8, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Eric M. Lee, Dorel I. Toma
  • Publication number: 20110046026
    Abstract: A method of making at least one nanographene layer is disclosed herein. The method includes selecting X hydrocarbon precursor and Y hydrogen gas (H2) such that a ratio of X/Y ranges from 0.5 to 1, the hydrocarbon precursor including at least one of CH4, C2H2 or C3H8. The method further includes submitting the hydrocarbon precursor to chemical vapor deposition using the hydrogen gas and argon gas (Ar). As a result, i) the hydrocarbon precursor reacts with the hydrogen gas and argon gas (Ar) according to the following reaction: X hydrocarbon precursor+YH2+ZAr?2X graphene+(Y+2X)H2+ZAr, where Z ranges from 5*(X+Y) to 10*(X+Y), and ii) the hydrocarbon precursor decomposes and self-assembles to form the at least one nanographene layer.
    Type: Application
    Filed: August 18, 2009
    Publication date: February 24, 2011
    Applicant: GM GLOBAL TECHNOLOGY OPERATIONS, INC.
    Inventors: Xingcheng Xiao, Jean M. Dasch, Simon Chin-Yu Tung, Anil K. Sachdev
  • Publication number: 20110039420
    Abstract: A wall surface of a film forming container is heated to or above a vaporization temperature of a material monomer, which is used to form an organic film, by using an external heater formed along the wall surface of the film forming container, substrates are heated to a thermal polymerization reaction temperature by using an internal heater that is disposed apart from the external heater and near a substrate-supporting container in which the substrates are received, and the organic film is formed through thermal polymerization occurring on the substrates by supplying the material monomer into the film forming container.
    Type: Application
    Filed: March 16, 2009
    Publication date: February 17, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Ken Nakao, Muneo Harada
  • Publication number: 20110038785
    Abstract: To efficiently and easily manufacture carbon nanotubes oriented in one direction. A method for manufacturing carbon nanotubes is characterized by including the steps of: bringing crystalline metal oxide particles into contact with a solution containing metal ions serving as a catalyst for forming carbon nanotubes, thereby attaching the catalyst to the surfaces of the metal oxide particles; subjecting the surfaces of the metal oxide particles to which the catalyst is attached to a CVD method or a combustion method, thereby forming carbon nanotubes on the surface of each of the metal oxide particles and resulting in producing metal oxide particles each supporting carbon nanotubes grown substantially perpendicularly to the surface of the metal oxide particle and in parallel with each other; and removing metal oxide particles from the metal oxide particles supporting carbon nanotubes.
    Type: Application
    Filed: March 16, 2009
    Publication date: February 17, 2011
    Applicant: OTSUKA CHEMICAL CO., LTD.
    Inventors: Toshiki Goto, Masato Tani
  • Publication number: 20110033623
    Abstract: Method of protecting carbon-carbon composite brake disc against migration of anti-oxidant composition through the porosity of the composite brake disc. The method starts with a porous carbon-carbon composite brake disc, and densifies it to a density of 1.70 grams per cubic centimeter or higher. The densified brake disc is then machine to the required dimensions. The pores in the densified brake disc are closed by subjecting it to CVD/CVI processing employing (i) a gaseous feedstock comprising natural gas spiked with 10 to 25% of a more reactive gas, and/or (ii) a temperature in the range of 1100° C. to 1500° C., and/or (iii) a gas pressure in the range 10 to 100 torr, and/or (iv) a gas flow rate of 300 cc/min to 450 cc/min. CVD/CVI processing carried out using these parameters deposits carbon within and closes the pores of the surface area of the carbon-carbon composite brake disc.
    Type: Application
    Filed: August 5, 2009
    Publication date: February 10, 2011
    Applicant: HONEYWELL INTERNATIONAL INC.
    Inventors: Slawomir FRYSKA, Mark L. La Forest
  • Patent number: 7883745
    Abstract: System and method for operating a material deposition system are disclosed. In one embodiment, the method can include periodically injecting a precursor into a vaporizer through an injector at the vaporizer, vaporizing the precursor in the vaporizer and supplying the vaporized precursor to a reaction chamber in fluid communication with the vaporizer, and shutting down the vaporizer and the reaction chamber after a period of time. The method can also include conducting maintenance of the injector at the vaporizer by using a vapor solvent rinse.
    Type: Grant
    Filed: July 30, 2007
    Date of Patent: February 8, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Eugene P. Marsh, David R. Atwell
  • Patent number: 7879409
    Abstract: We have a method of improving the deposition rate uniformity of the chemical vapor deposition (CVD) of films when a number of substrates are processed in series, sequentially in a deposition chamber. The method includes the plasma pre-heating of at least one processing volume structure within the processing volume which surrounds the substrate when the substrate is present in the deposition chamber. We also have a device-controlled method which adjusts the deposition time for a few substrates at the beginning of the processing of a number of substrates in series, sequentially in a deposition chamber, so that the deposited film thickness remains essentially constant during processing of the series of substrates. A combination of these methods into a single method provides the best overall results in terms of controlling average film thickness from substrate to substrate.
    Type: Grant
    Filed: July 23, 2004
    Date of Patent: February 1, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Gaku Furuta, Tae Kyung Won, John M. White
  • Patent number: 7879401
    Abstract: Methods and systems for organic vapor jet deposition are provided, where an exhaust is disposed between adjacent nozzles. One or more carrier gases may be provided and ejected from a plurality of nozzles. An exhaust may be provided to create a localized vacuum between nozzles. The exhaust may reduce pressure buildup in the nozzles and between the nozzles and the substrate, leading to improved deposition profiles, resolution, and improved nozzle-to-nozzle uniformity. The exhaust may be in fluid communication with an ambient vacuum, or may be directly connected to a vacuum source.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: February 1, 2011
    Assignees: The Regents of the University of Michigan, The Trustees of Princeton University
    Inventors: Stephen Forrest, Richard Lunt
  • Publication number: 20110020547
    Abstract: Methods and compositions for depositing a film on one or more substrates include providing a reactor with at least one substrate disposed in the reactor. At least one alkaline earth metal precursor and at least one titanium containing precursor are provided, vaporized, and at least partly deposited onto the substrate to form a strontium and titanium or a strontium and titanium and barium containing film.
    Type: Application
    Filed: July 21, 2009
    Publication date: January 27, 2011
    Inventors: Julien GATINEAU, Cheol Seong Hwang, Sang Woon Lee
  • Patent number: 7871668
    Abstract: The invention is directed to CVD methods and systems that can be utilized to form nanostructures. Exceptionally high product yields can be attained. In addition, the products can be formed with predetermined particle sizes and morphologies and within a very narrow particle size distribution. The systems of the invention include a CVD reactor designed to support the establishment of a convective flow field within the reactor at the expected carrier gas flow rates. In particular, the convective flow field within the reactor can include one or more flow vortices. The disclosed invention can be particularly beneficial for forming improved thermoelectric materials with high values for the figure of merit (ZT).
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: January 18, 2011
    Assignee: Clemson University Research Foundation
    Inventors: Terry M. Tritt, Bo Zhang, Jian He
  • Patent number: 7871678
    Abstract: The present invention relates to an enhanced cyclic deposition process suitable for deposition of barrier layers, adhesion layers, seed layers, low dielectric constant (low-k) films, high dielectric constant (high-k) films, and other conductive, semi-conductive, and non-conductive films. The technique increases the chemical reactivity of a precursor used in the process.
    Type: Grant
    Filed: September 12, 2006
    Date of Patent: January 18, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Frank Greer, Karl Leeser
  • Patent number: 7867560
    Abstract: A method for performing a vapor deposition process is described. The vapor deposition process involves the deposition of a thin film, such as a ruthenium (Ru), rhenium (Re) or rhodium (Rh) film, on a substrate using a solid-phase or liquid-phase precursor. The method facilitates the initiation of gas lines to supply dilution gas(es), carrier gas(es) and precursor vapor to the deposition system, the pre-heating and heating of the substrate, the pre-conditioning of the film precursor vaporization system, and the flow stabilization of the carrier gas(es) and the precursor vapor, for example.
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: January 11, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Kenji Suzuki
  • Publication number: 20100330278
    Abstract: There is provided a method for treating a surface of a substrate, comprising forming a layer, such as a mixed self-assembled monolayer (SAM), on the surface via chemical vapor deposition (CVD) with a CF3-functionalized organic silane and a CH3-functionalized organic silane, wherein the length of a carbon chain of the CH3-functional organic silane is shorter than a carbon chain of the CF3-functionalized organic silane.
    Type: Application
    Filed: August 24, 2010
    Publication date: December 30, 2010
    Inventors: Sung-Hwan Choi, Ki-Jeong Moon, Hae-Sang Jeon
  • Patent number: 7858152
    Abstract: A chemical vapor deposition (CVD) process for preparing electrical and optical chalcogenide materials. In a preferred embodiment, the instant CVD-deposited materials exhibit one or more of the following properties: electrical switching, accumulation, setting, reversible multistate behavior, resetting, cognitive functionality, and reversible amorphous-crystalline transformations. In one embodiment, a multilayer structure, including at least one layer containing a chalcogen element, is deposited by CVD and subjected to post-deposition application of energy to produce a chalcogenide material having properties in accordance with the instant invention. In another embodiment, a single layer chalcogenide material having properties in accordance with the instant invention is formed from a CVD deposition process including three or more deposition precursors, at least one of which is a chalcogen element precursor. Preferred materials are those that include the chalcogen Te along with Ge and/or Sb.
    Type: Grant
    Filed: September 22, 2008
    Date of Patent: December 28, 2010
    Assignee: Ovonyx, Inc.
    Inventors: Stanford R. Ovshinsky, Smuruthi Kamepalli
  • Patent number: 7858151
    Abstract: An absorber layer may be formed on a substrate using atomic layer deposition reactions. An absorber layer containing elements of groups IB, IIIA and VIA may be formed by placing a substrate in a treatment chamber and performing atomic layer deposition of a group IB element and/or one or more group IIIA elements from separate sources onto a substrate to form a film. A group VIA element is then incorporated into the film and annealed to form the absorber layer. The absorber layer may be greater than about 25 nm thick. The substrate may be coiled into one or more coils in such a way that adjacent turns of the coils do not touch one another. The coiled substrate may be placed in a treatment chamber where substantially an entire surface of the one or more coiled substrates may be treated by an atomic layer deposition process.
    Type: Grant
    Filed: September 18, 2004
    Date of Patent: December 28, 2010
    Assignee: Nanosolar, Inc.
    Inventors: Brian M. Sager, Martin R. Roscheisen, Craig Leidholm
  • Patent number: 7858536
    Abstract: A semiconductor device comprising a semiconductor substrate, a gate dielectrics formed on the semiconductor substrate and including a silicon oxide film containing a metallic element, the silicon oxide film containing the metallic element including a first region near a lower surface thereof, a second region near an upper surface thereof, and a third region between the first and second regions, the metallic element contained in the silicon oxide film having a density distribution in a thickness direction of the silicon oxide film, a peak of the density distribution existing in the third region, and an electrode formed on the gate dielectrics.
    Type: Grant
    Filed: September 20, 2007
    Date of Patent: December 28, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazuhiro Eguchi, Seiji Inumiya, Yoshitaka Tsunashima
  • Publication number: 20100323108
    Abstract: A disclosed deposition apparatus includes a catalyst reaction apparatus including an introduction part that introduces a first source gas, a catalyst container that contains a catalyst that produces reactive gas from the first source gas introduced from the introduction part, and a reactive gas ejection part that ejects the reactive gas from the catalyst container; a reactive gas separator that allows the reactive gas ejected from the reactive gas ejection part to go therethrough; a substrate supporting part that supports a substrate; and a supplying part that supplies a second source gas that reacts with the reactive gas that passes through the reactive gas separator, thereby depositing a film on the substrate.
    Type: Application
    Filed: November 21, 2008
    Publication date: December 23, 2010
    Applicants: NATIONAL UNIVERSITY CORPORATION NAGAOKA UNIVERSITY OF TECHNOLOGY, TOKYO ELECTRON LIMITED
    Inventors: Kanji Yasui, Hiroshi Nishiyama, Yasunobu Inoue, Hitoshi Miura
  • Patent number: 7854962
    Abstract: Disclosed herein is a processing system that can supply a material gas produced inside a material reservoir tank into a processing apparatus while generating almost no pressure loss. The processing system has a processing apparatus including a gas injection injector for injecting a specific material gas into a processing vessel in order to provide specific processing to an object to be processed W, the material gas being produced from a metallic compound material M with low vapor pressure; and a gas supply system for supplying the specific material gas to the gas injector, the gas injector is a shower head portion and the gas supply system provides: a gas passage extending upwardly from the showerhead portion; a material reservoir tank attached to the upper-end portion of the gas passage for containing the metallic compound material therein; and an open/close valve for opening/closing the gas passage.
    Type: Grant
    Filed: January 21, 2009
    Date of Patent: December 21, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Kasai, Sum Tanaka, Tetsuya Saito, Norihiko Yamamoto, Kenichi Yanagitani
  • Patent number: 7851385
    Abstract: The present invention generally provides apparatus and method for processing a semiconductor substrate. Particularly, embodiments of the present invention relate to a method and apparatus for forming semiconductor devices having a conformal silicon oxide layer formed at low temperature. One embodiment of the present invention provides a method for forming a semiconductor gate structure. The method comprises forming a gate stack on a semiconductor substrate, forming a conformal silicon oxide layer on the semiconductor substrate using a low temperature cyclic method, and forming a spacer layer on the conformal silicon oxide layer.
    Type: Grant
    Filed: September 30, 2008
    Date of Patent: December 14, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Matthew Spuller, Melody Agustin, Meiyee (Maggie Le) Shek, Li-Qun Xia, Reza Arghavani
  • Publication number: 20100310771
    Abstract: A vapor deposition reactor and a method for forming a thin film. The vapor deposition reactor includes at least one first injection portion for injecting a reacting material to a recess in a first portion of the vapor deposition reactor. A second portion is connected to the first space and has a recess connected to the recess of the first portion. The recess of the second portion is maintained to have pressure lower than the pressure in the first space. A third portion is connected to the second space, and an exhaust portion is connected to the third space.
    Type: Application
    Filed: June 4, 2010
    Publication date: December 9, 2010
    Applicant: Synos Technology, Inc.
    Inventor: Sang In LEE
  • Publication number: 20100310772
    Abstract: A gas supply device disposed opposite to a substrate mounted on a loading board in a processing container and supplying a process gas for processing the substrate comprises a top plate member having a recess formed to spread gradually toward the state in order to constitute a gas diffusion space at a position facing the substrate on the loading board, and a gas supply nozzle projecting into the recess from the top thereof and having a plurality of gas supply holes along the circumferential direction of the recess.
    Type: Application
    Filed: August 17, 2010
    Publication date: December 9, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Einosuke TSUDA
  • Patent number: 7846261
    Abstract: Methods for cleaning surface deposits, such as sulfidation deposits or dust particles, from a surface bounding an internal passage in a turbine engine component. The surface deposits are cleaned by placing a halogen-containing organic compound, such as a fluorine-containing organic compound, into the internal passage and heating the component and organic compound to chemically react the halogen-containing species in the liquefied and boiling organic compound with the deposits. The temperature is further elevated to vaporize the chemically-modified deposits, which are moved by mass transport through the internal passage and out of the turbine engine component. An optional protective coating, such as a chromium or aluminum coating, may be applied to the cleaned surface of the internal passage.
    Type: Grant
    Filed: February 14, 2006
    Date of Patent: December 7, 2010
    Assignee: Aeromet Technologies, Inc.
    Inventors: Richard Patrick Chesnes, David C Fairbourn
  • Patent number: 7846499
    Abstract: A method of growing a thin film on a substrate by pulsing vapor-phase precursors material into a reaction chamber according to the ALD method. The method comprises vaporizing at least one precursor from a source material container maintained at a vaporising temperature, repeatedly feeding pulses of the vaporized precursor via a feed line into the reaction chamber at a first pressure, and subsequently purging the reaction chamber with pulses of inactive gas fed via the feed line at a second pressure. The second pressure is maintained at the same as or a higher level than the first pressure for separating successive pulses of said vaporized precursor from each other.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: December 7, 2010
    Assignee: ASM International N.V.
    Inventor: Tom E. Blomberg
  • Patent number: 7842343
    Abstract: The invention relates to a method of producing an analytical tool having recesses (20) formed therein for moving a sample liquid, a base plate (2) made of polymeric material, and a cover laminated to the base plate (2) to cover the recesses (20). This producing method includes the step of applying a hydrophilic treatment to the inner surfaces of the recesses (20). The hydrophilic treatment includes a primary modifying operation for primarily modifying the properties of the inner surfaces of the recesses (20) by contacting a modifying gas with the inner surfaces of the recesses (20), and a secondary modifying operation for secondarily modifying the properties of the inner surfaces of the recesses (20).
    Type: Grant
    Filed: September 25, 2003
    Date of Patent: November 30, 2010
    Assignee: ARKRAY, Inc.
    Inventors: Takayuki Taguchi, Shigeru Kitamura, Yuichiro Noda, Toshio Takama
  • Publication number: 20100297350
    Abstract: Improved methods for manufacturing silicon carbide rings using chemical vapor deposition. Cylindrical tubes are used as deposition substrates and the resulting material deposited on the inside surface of cylindrical tubes or on the outside surface of cylindrical mandrels, or both, is sliced or cut into the desired ring size and shape. The resulting rings have a crystal growth that is oriented substantially planar to the finished article. The invention also relates to nitrogen doped silicon carbide material, as well as to silicon carbide structures having axes of grain growth substantially parallel to the plane of the structure and to each other, and having rotational orientation that is substantially random with respect to the axes of grain growth of the grains.
    Type: Application
    Filed: May 19, 2010
    Publication date: November 25, 2010
    Inventors: DAVID THOMAS FORREST, Mark Wallace Schauer
  • Patent number: 7838072
    Abstract: An adaptive real time thermal processing system is presented that includes a multivariable controller. The method includes creating a dynamic model of the MLD processing system and incorporating virtual sensors in the dynamic model. The method includes using process recipes comprising intelligent set points, dynamic models, and/or virtual sensors.
    Type: Grant
    Filed: January 26, 2005
    Date of Patent: November 23, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Sanjeev Kaushal, Pradeep Pandey, Kenji Sugishima
  • Patent number: 7838084
    Abstract: The invention includes atomic layer deposition methods of depositing an oxide on a substrate. In one implementation, a substrate is positioned within a deposition chamber. A first species is chemisorbed onto the substrate to form a first species monolayer within the deposition chamber from a gaseous precursor. The chemisorbed first species is contacted with remote plasma oxygen derived at least in part from at least one of O2 and O3 and with remote plasma nitrogen effective to react with the first species to form a monolayer comprising an oxide of a component of the first species monolayer. The chemisorbing and the contacting with remote plasma oxygen and with remote plasma nitrogen are successively repeated effective to form porous oxide on the substrate. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: July 20, 2006
    Date of Patent: November 23, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Garo J. Derderian, Shuang Meng, Danny Dynka
  • Publication number: 20100288316
    Abstract: A water-guiding household device, in particular, a dishwasher, equipped with an improved drive shaft which is arranged in the wet running pump. A coating made of a chrome-nitrogen compound is applied to the drive shaft according to a PVD method.
    Type: Application
    Filed: January 15, 2007
    Publication date: November 18, 2010
    Applicant: BSH Bosch und Siemens Hausgerate GmbH
    Inventors: Roland Ertle, Bruno Reiter, Franz-Josef Wagner
  • Publication number: 20100291328
    Abstract: Improved methods for manufacturing silicon carbide rings using chemical vapor deposition. Cylindrical tubes are used as deposition substrates and the resulting material deposited on the inside surface of cylindrical tubes or on the outside surface of cylindrical mandrels, or both, is sliced or cut into the desired ring size and shape. The resulting rings have a crystal growth that is oriented substantially planar to the finished article. The invention also relates to nitrogen doped silicon carbide material, as well as to silicon carbide structures having axes of grain growth substantially parallel to the plane of the structure and to each other, and having rotational orientation that is substantially random with respect to the axes of grain growth of the grains.
    Type: Application
    Filed: May 19, 2010
    Publication date: November 18, 2010
    Inventors: David Thomas Forrest, Mark Wallace Schauer
  • Publication number: 20100290945
    Abstract: Oxygen free, solution based zirconium precursors for use in ALD processes are disclosed for growing ZrO2 or other Zr compound films in a self-limiting and conformal manner. An oxygen free, solution based ALD precursor of (t-BuCp)2ZrMC2 is particular useful for depositing ZrO2 or other Zr compound films.
    Type: Application
    Filed: May 13, 2009
    Publication date: November 18, 2010
    Inventors: Ce MA, Kee-Chan Kim, Graham Anthony McFarlane
  • Publication number: 20100286423
    Abstract: A nickel-containing film-forming material including a compound represented by a structure of the following formula (1). In the formula (1), R1 and R2 are each independently a hydrogen atom or a group represented by a structure of the following formula (2), a and b are each an integer of 0 to 4, and a and b satisfy the condition of 0<a+b?4 with the exception of a case where R1 and R2 are both hydrogen atoms. In the formula (2), R3, R4 and R5 are each independently an alkyl group of 1 to 2 carbon atoms.
    Type: Application
    Filed: December 16, 2008
    Publication date: November 11, 2010
    Applicant: Showa Denko K.K.
    Inventors: Toshitaka Hiro, Takamitsu Kobayashi
  • Publication number: 20100285415
    Abstract: A burner element is provided. The burner element includes a surface that potentially comes into contact with a fuel. The surface potentially coming into contact with the fuel has a coating including aluminum oxide. A burner including the burner element is also provided. Further, a method for coating a surface of a burner element potentially coming into contact with a fuel is described, wherein the surface potentially coming into contact with the fuel is coated with aluminum oxide.
    Type: Application
    Filed: March 3, 2008
    Publication date: November 11, 2010
    Inventors: Andreas Böttcher, Claus Krusch, Werner Stamm, Ulrich Wörz
  • Patent number: 7829145
    Abstract: Apparatus and methods for distributing gases into a processing chamber are disclosed. In one embodiment, the method for processing a substrate includes delivering a processing gas into a chemical vapor deposition chamber through a first gas pathway that includes flow through a first plurality of apertures in a blocker plate, the blocker plate creating a pressure drop of at least approximately 0.8 torr thereacross, reacting the processing gas to deposit a material on a substrate surface, removing the substrate from the chamber, delivering a cleaning gas into the chamber through a second gas pathway around the blocker plate bypassing the blocker plate and through a second plurality of apertures formed in the blocker plate, and reacting the cleaning gases with deposits within the chamber to etch the deposits from the chamber.
    Type: Grant
    Filed: October 22, 2008
    Date of Patent: November 9, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Ganesh Balasubramanian, Juan Carlos Rocha-Alvarez, Tom K. Cho, Daemian Raj
  • Publication number: 20100272895
    Abstract: A film deposition apparatus comprises: a process container 2; a table 3 on which a substrate W can be placed, the table 3 being disposed in the process container 2; and a gas showerhead 4 disposed so as to be opposed to the table 3, the gas showerhead 4 including a gas supply surface 40a having a first gas supply hole 51b for supplying a first process gas, a second gas supply hole 52b for supplying a second process gas, and a third gas supply hole 53b for supplying a third process gas. The gas supply surface 40a is divided into unit zones 401 formed of regular triangles of the same size, and the first gas supply hole 51b, the second gas supply hole 52b, and the third gas supply hole 53b are disposed on respective three apexes of each regular triangle constituting the unit zone.
    Type: Application
    Filed: September 11, 2008
    Publication date: October 28, 2010
    Applicant: Tokyo Electron Limited
    Inventor: Einosuke Tsuda
  • Publication number: 20100274176
    Abstract: A variety of article and systems including wound care systems, methods for making the wound care systems, bactericidal, and methods for treating wounds using these systems are disclosed. The wound care systems may include a first material comprising one or more fibers or porous media. The one or more fibers or porous media may be coated with a second material that is capable of inhibiting the growth of bacteria and killing the bacteria to render the wound care system sterile, increasing the absorbency of the first material, or both upon exposure to light. The first material may be cotton, or any suitable fibrous material, the second material may be TiO2, and the light may be UV or visible light. A variety of methods including ALD may be used to coat the first material.
    Type: Application
    Filed: April 23, 2010
    Publication date: October 28, 2010
    Inventors: Helmut Baumgart, Diefeng Gu
  • Publication number: 20100263908
    Abstract: Disclosed are a method for fabricating a conductive film, and a conductive film fabricated by the same. The method comprises: forming a mixed solution consisting of at least one of a metallic precursor and a conductive polymer; spraying atomized droplets of the mixed solution on a surface of a substrate so as to form conductive frames; and coupling carbon nanotubes to the conductive frames so as to enhance electric conductivity. Accordingly, the conductive film can have enhanced electric conductivity, and can be easily fabricated.
    Type: Application
    Filed: October 8, 2009
    Publication date: October 21, 2010
    Inventors: Hyun-Jung LEE, Hee-Suk KIM, Sun-Young NOH, Sun-Na Hwang, Soon-Ho LIM, Min PARK, Jun-Kyung KIM
  • Publication number: 20100260935
    Abstract: A rotation table on which a wafer is placed is rotated around a vertical axis in order to supply to an upper surface of the wafer a first reaction gas for allowing the first reaction gas to be adsorbed on the upper surface, an auxiliary gas that reacts with the first reaction gas to produce an intermediate product having reflowability, and a second reaction gas that is reacted with the intermediate product to produce a reaction product in this order; and the reaction product is heated by a heating lamp in order to densify the reaction product.
    Type: Application
    Filed: April 5, 2010
    Publication date: October 14, 2010
    Inventors: HITOSHI KATO, Manabu Honma, Hiroyuki Kikuchi
  • Publication number: 20100260936
    Abstract: In a substrate processing apparatus, a film deposition device and a heat processing device to perform an anneal processing are airtightly connected to a vacuum conveying chamber, and a substrate rotating unit to cause a substrate to rotate around a vertical axis is provided in the vacuum conveying chamber. A control unit is arranged to stop a relative rotation of a plurality of reactive gas supplying units, a separating gas supplying unit and a table by a rotation device in the middle of a film deposition process of the substrate, cause a conveying unit to take out the substrate from a vacuum chamber, and output a control signal that causes a substrate rotating unit to change a direction of the substrate.
    Type: Application
    Filed: April 5, 2010
    Publication date: October 14, 2010
    Inventors: Hitoshi Kato, Manabu Honma, Hiroyuki Kikuchi