Coating Formed From Vaporous Or Gaseous Phase Reaction Mixture (e.g., Chemical Vapor Deposition, Cvd, Etc.) Patents (Class 427/255.28)
  • Publication number: 20120219712
    Abstract: A delivery device for thin-film material deposition has at least first, second, and third inlet ports for receiving a common supply for a first, a second and a third gaseous material, respectively. Each of the first, second, and third elongated emissive channels allow gaseous fluid communication with one of corresponding first, second, and third inlet ports. The delivery device can be formed from apertured plates, superposed to define a network of interconnecting supply chambers and directing channels for routing each of the gaseous materials from its corresponding inlet port to a corresponding plurality of elongated emissive channels. The delivery device comprises a diffusing channel formed by a relief pattern between facing plates. Also disclosed is a process for thin film deposition. Finally, more generally, a flow diffuser and a corresponding method of diffusing flow is disclosed.
    Type: Application
    Filed: May 8, 2012
    Publication date: August 30, 2012
    Inventors: Roger S. Kerr, David H. Levy, James T. Murray
  • Publication number: 20120219713
    Abstract: Described is a parallel batch CVD system that includes a pair of linear deposition chambers in a parallel arrangement and a robotic loading module disposed between the chambers. Each chamber includes a linear arrangement of substrate receptacles, gas injectors to supply at least one gas in a uniform distribution across the substrates, and a heating module for uniformly controlling a temperature of the substrates. The robotic loading module is configured for movement in a direction parallel to a length of each of the chambers and includes at least one cassette for carrying substrates to be loaded into the substrate receptacles of the chambers. The parallel batch CVD system is suitable for high volume processing of substrates. The CVD processes performed in the chambers can be the same process. Alternatively, the CVD processes may be different and substrates processed in one chamber may be subsequently processed in the other chamber.
    Type: Application
    Filed: May 11, 2012
    Publication date: August 30, 2012
    Applicant: AVENTA TECHNOLOGIES, LLC
    Inventors: Piero Sferlazzo, Darren M. Simonelli
  • Patent number: 8252435
    Abstract: [PROBLEMS] To provide a cutting tool consisting of a hard material improved in the adherence between a substratum of cemented carbide having hard phases bound by a binder metal and a TiN layer superimposed on a surface of the substratum, and provide a process for producing the same. [MEANS FOR SOLVING PROBLEMS] There is provided a cutting tool consisting of a hard material, characterized in that the hard material has a substratum containing hard phases and a binder metal and a TiN layer superimposed on a surface of the substratum, and that the substratum has ?-phases consisting of at least one solid solution of carbide, nitride or carbonitride containing W and at least one member selected from among Ti, Ta, Nb and Zr, and that at least some of the ?-phases lie at a surface of the substratum, and that the TiN layer has crystals with the same orientation relationship as that of ?-phase crystals just above the ?-phases of the substratum surface.
    Type: Grant
    Filed: August 30, 2007
    Date of Patent: August 28, 2012
    Assignee: Kyocera Corporation
    Inventor: Takahito Tanibuchi
  • Patent number: 8252377
    Abstract: The present invention is an organoruthenium compound for use in production of a ruthenium or ruthenium compound thin film by chemical vapor deposition, including ruthenium and an arene group and norbornadiene both coordinated to the ruthenium and represented by the following formula. The present invention is an organoruthenium compound for use in chemical vapor deposition which does not require the coexistence of oxygen during the thin film formation, and moreover, is liquid at ordinary temperature, thereby having good handleability and recyclability. wherein the substituents, R1 to R6, of the arene group are each hydrogen or an alkyl group, and the total number of carbons of R1 to R6 (R1+R2+R3+R4+R5+R6) is 6 or less.
    Type: Grant
    Filed: July 14, 2011
    Date of Patent: August 28, 2012
    Assignee: Tanaka Kikinzoku Kogyo K.K.
    Inventors: Junichi Taniuchi, Masayuki Saito, Minoru Ishida
  • Publication number: 20120213929
    Abstract: A method of performing a filament-assisted chemical vapor deposition process is described. The method includes providing a substrate holder in a process chamber of a chemical vapor deposition system, providing a non-ionizing heat source separate from the substrate holder in the process chamber, disposing a substrate on the substrate holder, introducing a film forming composition to the process chamber, thermally fragmenting the film forming composition using the non-ionizing heat source, and forming a thin film on the substrate in the process chamber. The non-ionizing heat source includes a gas heating device through and/or over which the film forming composition flows. The method further includes remotely producing a reactive composition, and introducing the reactive composition to the process chamber to interact with the substrate, wherein the reactive composition is introduced sequentially and/or simultaneously with the introducing the film forming composition.
    Type: Application
    Filed: February 18, 2011
    Publication date: August 23, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Eric M. LEE, Jacques FAGUET
  • Publication number: 20120213945
    Abstract: Embodiments relate to using radicals to at different stages of deposition processes. The radicals may be generated by applying voltage across electrodes in a reactor remote from a substrate. The radicals are injected onto the substrate at different stages of molecular layer deposition (MLD), atomic layer deposition (ALD), and chemical vapor deposition (CVD) to improve characteristics of the deposited layer, enable depositing of material otherwise not feasible and/or increase the rate of deposition. Gas used for generating the radicals may include inert gas and other gases. The radicals may disassociate precursors, activate the surface of a deposited layer or cause cross-linking between deposited molecules.
    Type: Application
    Filed: February 15, 2012
    Publication date: August 23, 2012
    Applicant: SYNOS TECHNOLOGY, INC.
    Inventor: Sang In LEE
  • Patent number: 8246924
    Abstract: The present application is directed to methods of manufacturing calcium phosphate particles. In particular, the method is directed at eliminating the requirement for a sintering step in the manufacturing process. The method involves the atomization and combustion of one or more antecedent compositions containing calcium precursors, phosphorus precursors and hydrogen peroxide.
    Type: Grant
    Filed: November 12, 2008
    Date of Patent: August 21, 2012
    Assignee: HKPB Scientific Limited
    Inventor: Donncha Haverty
  • Publication number: 20120207928
    Abstract: Disclosed are hafnium- or zirconium-containing compounds. The compounds may be used to deposit hafnium- or zirconium-containing layers using vapor deposition methods such as chemical vapor deposition or atomic layer deposition. The hafnium- or zirconium-containing compounds include a ligand at least one aliphatic group as substituents selected to have greater degrees of freedom than the substituents disclosed in the prior art.
    Type: Application
    Filed: November 5, 2010
    Publication date: August 16, 2012
    Applicant: American Air Liquide, Inc.
    Inventors: Christian Dussarrat, Vincent M. Omarjee, Venkateswara R. Pallem
  • Publication number: 20120207916
    Abstract: A vacuum processing system includes a vacuum chamber in connection with a vacuum pump that can exhaust air or vapor in the vacuum chamber, and a container in the vacuum chamber configured to contain one or more work pieces therein and to receive a heat-exchange liquid that comes into contact with the one or more work pieces to allow heat exchange with the one or more work pieces. The vacuum pump can exhaust at least a portion of the vapor evaporated from the heat-exchange liquid on the work pieces or in the container. A deposition source unit can provide material to be deposited on the one or more work pieces in vacuum. The one or more work pieces can be brought a predetermined temperature by the heat-exchange liquid.
    Type: Application
    Filed: June 29, 2011
    Publication date: August 16, 2012
    Inventor: George X. Guo
  • Publication number: 20120207948
    Abstract: Performing atomic layer deposition (ALD) using radicals of a mixture of nitrogen compounds to increase the deposition rate of a layer deposited on a substrate. A mixture of nitrogen compound gases is injected into a radical reactor. Plasma of the compound gas is generated by applying voltage across two electrodes in the radical reactor to generate radicals of the nitrogen compound gases. The radicals are injected onto the surface of a substrate previously injected with source precursor. The radicals function as a reactant precursor and deposit a layer of material on the substrate.
    Type: Application
    Filed: February 9, 2012
    Publication date: August 16, 2012
    Applicant: SYNOS TECHNOLOGY, INC.
    Inventor: Sang In LEE
  • Publication number: 20120207927
    Abstract: Disclosed are hafnium- and zirconium-containing precursors and methods of providing the same. The disclosed precursors include a ligand and at least one aliphatic group as substituent selected to have greater degrees of freedom than the usual substituents. The disclosed precursors may be used to deposit hafnium- or zirconium-containing layers using vapor deposition methods such as chemical vapor deposition or atomic layer deposition.
    Type: Application
    Filed: August 13, 2010
    Publication date: August 16, 2012
    Inventors: Christian Dussarrat, Vincent M. Omarjee, Venkateswara R. Pallem
  • Patent number: 8244482
    Abstract: A process system adapted for processing of or with a material therein. The process system includes: a sampling region for the material; an infrared photometric monitor constructed and arranged to transmit infrared radiation through the sampling region and to responsively generate an output signal correlative of the material in the sampling region, based on its interaction with the infrared radiation; and process control means arranged to receive the output of the infrared photometric monitor and to responsively control one or more process conditions in and/or affecting the process system.
    Type: Grant
    Filed: April 12, 2011
    Date of Patent: August 14, 2012
    Assignee: Advanced Technology Materials, Inc.
    Inventor: Jose I. Arno
  • Patent number: 8241704
    Abstract: A multi-step method for depositing ruthenium thin films having high conductivity and superior adherence to the substrate is described. The method includes the deposition of a ruthenium nucleation layer followed by the deposition of a highly conductive ruthenium upper layer. Both layers are deposited using chemical vapor deposition (CVD) employing low deposition rates.
    Type: Grant
    Filed: April 19, 2011
    Date of Patent: August 14, 2012
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Bryan C. Hendrix, James J. Welch, Steven M. Bilodeau, Jeffrey F. Roeder, Chongying Xu, Thomas H. Baum
  • Patent number: 8236379
    Abstract: The present invention is related to a chemical vapor deposition method of depositing layers of materials to provide super-hydrophilic surface properties, or super-hydrophobic surface properties, or combinations of such properties at various locations on a given surface. The invention also relates to electronic applications which make use of super-hydrophobic surface properties, and to biological applications which make use of super-hydrophilic surface properties.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: August 7, 2012
    Assignee: Applied Microstructures, Inc.
    Inventors: Boris Kobrin, Jeffrey D. Chin, Benigno A. Janeiro, Romuald Nowak
  • Patent number: 8236389
    Abstract: A method for making a carbon nanotube film, the method comprising the following steps of: (a) supplying a substrate; (b) forming at least one strip-shaped catalyst film on the substrate, a width of the strip-shaped catalyst films ranging from approximately 1 micrometer to 20 micrometers; (c) growing at least one strip-shaped carbon nanotube array on the substrate using a chemical vapor deposition method; and (d) causing the at least one strip-shaped carbon nanotube array to fold along a direction parallel to a surface of the substrate, thus forming at least one carbon nanotube film.
    Type: Grant
    Filed: November 6, 2008
    Date of Patent: August 7, 2012
    Assignees: Tsinghua University, Hon Hai Precision Industry Co., Ltd.
    Inventors: Kai-Li Jiang, Qun-Qing Li, Liang Liu, Shou-Shan Fan
  • Patent number: 8236381
    Abstract: Methods and compositions for depositing a film on one or more substrates include providing a reactor and at least one substrate disposed in the reactor. At least one lanthanide precursor is provided in vapor form and a lanthanide metal thin film layer is deposited onto the substrate.
    Type: Grant
    Filed: August 10, 2009
    Date of Patent: August 7, 2012
    Assignee: L'Air Liquide Societe Anonyme pour l'Etude et l'Exploitation des Procedes Georges Claude
    Inventor: Shingo Okubo
  • Publication number: 20120196050
    Abstract: Apparatus for atomic layer deposition on a surface of a sheeted substrate, comprising: an injector head comprising a deposition space provided with a precursor supply and a precursor drain; said supply and drain arranged for providing a precursor gas flow from the precursor supply via the deposition space to the precursor drain; the deposition space in use being bounded by the injector head and the substrate surface; a gas bearing comprising a bearing gas injector, arranged for injecting a bearing gas between the injector head and the substrate surface, the bearing gas thus forming a gas-bearing; a conveying system providing relative movement of the substrate and the injector head along a plane of the substrate to form a conveying plane along which the substrate is conveyed.
    Type: Application
    Filed: July 30, 2010
    Publication date: August 2, 2012
    Inventors: Adrianus Johannes Petrus Maria Vermeer, Gabi P. Janssen
  • Publication number: 20120196030
    Abstract: A coating apparatus comprises a coating chamber for coating the articles. The at least one preheat chamber is coupled to the coating chamber. The at least one loading station has a proximal end connectable to at least one of the preheat chambers when in an installed position at a distal end of the preheat chamber. The loading station further includes a carrier for carrying the articles and a drive system. The drive system is positioned to move the carrier between: a loading/unloading position of the carrier in the loading station; a preheat position of the carrier in the preheat chamber to which the loading station is connected; and a deposition position of the carrier in the coating chamber. A gas source is connected to the preheat chamber.
    Type: Application
    Filed: November 30, 2010
    Publication date: August 2, 2012
    Applicant: UNITED TECHNOLOGIES CORPORATION
    Inventors: James W. Neal, Robert F. Latour, Benjamin J. Zimmerman
  • Patent number: 8227032
    Abstract: A method of forming a silicon oxide film, comprising the steps of: —providing a treatment substrate within a reaction chamber; —purging the gas within the reaction chamber by feeding an inert gas into the chamber under reduced pressure at a substrate temperature of 50 to 400 C, —adsorbing, at the same temperatures and under reduced pressure, a silicon compound on the treatment substrate by pulsewise introduction of a gaseous silicon compound into the reaction chamber, —purging, at the same temperatures and under reduced pressure, the unadsorbed silicon compound in the reaction chamber with an inert gas, —at the same temperatures and under reduced pressure, introducing a pulse of ozone-containing mixed gas into the reaction chamber and producing silicon oxide by an oxidation reaction with the silicon compound adsorbed on the treatment substrate; and—repeating steps 1) to 4) if necessary to obtain the desired thickness on the substrate.
    Type: Grant
    Filed: March 17, 2006
    Date of Patent: July 24, 2012
    Assignee: L'Air Liquide Societe Anonyme pour l'Etude et l'Exploitation des Procedes Georges Claude
    Inventors: Christian Dussarrat, Julien Gatineau, Kazutaka Yanagita, Eri Tsukada, Ikuo Suzuki
  • Patent number: 8227025
    Abstract: Conductive polymer coatings and methods of forming the same are provided.
    Type: Grant
    Filed: October 31, 2008
    Date of Patent: July 24, 2012
    Assignee: GVD Corporation
    Inventors: Hilton G. Pryce Lewis, Erik S. Handy
  • Patent number: 8227030
    Abstract: A process for producing a semiconductor device, in which in the formation of a boron doped silicon film from, for example, monosilane and boron trichloride by vacuum CVD technique, there can be produced a film excelling in inter-batch homogeneity with respect to the growth rate and concentration of a dopant element, such as boron. The process includes the step of performing the first purge through conducting at least once of while a substrate after treatment is housed in a reaction furnace, vacuuming of the reaction furnace and inert gas supply thereto and the steps of performing the second purge through conducting at least once of after carrying of the substrate after treatment out of the reaction furnace, prior to carrying of a substrate to be next treated into the reaction furnace and while at least no product substrate is housed in the reaction furnace, vacuuming of the reaction furnace and inert gas supply thereto.
    Type: Grant
    Filed: March 12, 2009
    Date of Patent: July 24, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Takaaki Noda, Kenichi Suzaki
  • Patent number: 8227358
    Abstract: Novel silicon precursors for low temperature deposition of silicon films are described herein. The disclosed precursors possess low vaporization temperatures, preferably less than about 500° C. In addition, embodiments of the silicon precursors incorporate a —Si—Y—Si— bond, where Y may comprise an amino group, a substituted or unsubstituted hydrocarbyl group, or oxygen. In an embodiment a silicon precursor has the formula: where Y is a hydrocarbyl group, a substituted hydrocarbyl group, oxygen, or an amino group; R1, R2, R3, and R4 are each independently a hydrogen group, a hydrocarbyl group, a substituted hydrocarbyl group, a heterohydrocarbyl group, wherein R1, R2, R3, and R4 may be the same or different from one another; X1, X2, X3, and X4 are each independently, a hydrogen group, a hydrocarbyl group, a substituted hydrocarbyl group, or a hydrazine group, wherein X1, X2, X3, and X4 may be the same or different from one another.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: July 24, 2012
    Assignee: Air Liquide Electronics U.S. LP
    Inventors: Ziyun Wang, Ashutosh Misra, Ravi Laxman
  • Patent number: 8221835
    Abstract: A process for producing a semiconductor device, in which in the formation of a boron doped silicon film from, for example, monosilane and boron trichloride by vacuum CVD technique, there can be produced a film excelling in inter-batch homogeneity with respect to the growth rate and concentration of a dopant element, such as boron. The process includes the step of performing the first purge through conducting at least once of while a substrate after treatment is housed in a reaction furnace, vacuuming of the reaction furnace and inert gas supply thereto and the steps of performing the second purge through conducting at least once of after carrying of the substrate after treatment out of the reaction furnace, prior to carrying of a substrate to be next treated into the reaction furnace and while at least no product substrate is housed in the reaction furnace, vacuuming of the reaction furnace and inert gas supply thereto.
    Type: Grant
    Filed: March 12, 2009
    Date of Patent: July 17, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Takaaki Noda, Kenichi Suzaki
  • Publication number: 20120177824
    Abstract: In a method for coating substrates with materials to be vaporized in a vacuum coating system, the vaporization material is deposited on the substrate by double vaporization using an intermediate carrier. The intermediate carrier is continuously moved and.
    Type: Application
    Filed: September 6, 2010
    Publication date: July 12, 2012
    Applicant: VON ARDENNE ANLAGENTECHNIK GMBH
    Inventor: Harald Gross
  • Patent number: 8216643
    Abstract: A method for preparing a polysilicon rod using a metallic core means, including: installing a core means in an inner space of a deposition reactor used for preparing a silicon rod, the core means being constituted by forming at least one separation layer on the surface of a metallic core element and being connected to an electrode means, heating the core means by supplying electricity through the electrode means, and supplying a reaction gas into the inner space for silicon deposition, thereby forming a deposition output in an outward direction on the surface of the core means. The deposition output and the core means can be separated easily from the silicon rod output obtained by the process of silicon deposition, and the contamination of the deposition output caused by impurities of the metallic core element can be minimized, thereby a high-purity silicon can be prepared more economically and conveniently.
    Type: Grant
    Filed: May 21, 2007
    Date of Patent: July 10, 2012
    Assignee: Korea Research Institute of Chemical Technology
    Inventors: Hee Young Kim, Kyung Koo Yoon, Yong Ki Park, Won Choon Choi, Sang Jin Moon
  • Patent number: 8216663
    Abstract: A member having high non-electrostatic properties and high hydrophilicity and preventing an adhesion of contaminants, a surface-treating process and an apparatus for the surface-treating process are provided. A surface-treatment apparatus comprises a water vapor-generating unit 1, a superheating unit 5 for superheating a water vapor to generate a superheated water vapor, and a processing unit 11 for spraying the superheated water vapor to a member 14 to be treated (a ceramic, a metal) or for exposing the member to the superheated water vapor. Treating the untreated member with a superheated water vapor having a temperature 300 to 1000° C., hydrophilicity and antistatic properties are imparted to the member. The untreated member may be a member (a window member) contacting with a processing space in a vapor phase surface process apparatus (e.g., a chamber) for the surface process of a substrate by a vapor phase method such as a PVD, a CVD, or a dry etching.
    Type: Grant
    Filed: June 15, 2006
    Date of Patent: July 10, 2012
    Assignee: Canaan Precision Co., Ltd.
    Inventor: Koichiro Takayanagi
  • Patent number: 8216639
    Abstract: One embodiment of the present invention provides a method for the deposition of a Carbon containing layer on a Silicon surface wherein a (i) substantially Silicon-oxide-free or reduced oxide interface results between Silicon and the Carbon containing layer during the deposition. In another embodiment, the present invention provides a method for deposition of a Carbon containing layer wherein the deposition process is substantially soot (particle)-free or reduction of soot.
    Type: Grant
    Filed: December 16, 2005
    Date of Patent: July 10, 2012
    Assignee: Qimonda AG
    Inventors: Maik Liebau, Franz Kreupl, Georg Duesberg, Eugen Unger
  • Patent number: 8215264
    Abstract: The present invention relates to an ALD apparatus, and particularly relates to an ALD apparatus that is suitable for rapidly depositing a thin film on a substrate having an actual area that is larger than a planar substrate. In the reaction chamber of the ALD apparatus according to an exemplary embodiment of the present invention, more gas is supplied to a portion where more gas is required by having differences in the space for gas to flow rather than supplying the gas in a constant flux and a constant flow velocity such that the time required for supplying reactant gases and waste of reactant gases may be minimized to increase productivity of the ALD apparatus. The ceiling of the reaction space is shaped to provide a nonuniform gap over the substrate.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: July 10, 2012
    Assignee: ASM Genitech Korea Ltd.
    Inventors: Kyung Il Hong, Dae Youn Kim, Hyung-Sang Park, Sang Jin Jeong, Wonyong Koh, Herbert Terhorst
  • Publication number: 20120172232
    Abstract: The present invention relates to a nanorod-containing precursor powder, a nanorod-containing superconductor bulk and a method for manufacturing the same. The method for manufacturing a nanorod-containing precursor powder includes the following steps: providing a precursor powder; and forming a plurality of nanorods on particle surfaces of the precursor powder. Accordingly, the present invention can significantly enhance critical current density and pinning force.
    Type: Application
    Filed: July 6, 2011
    Publication date: July 5, 2012
    Applicant: National Cheng Kung University
    Inventors: In-Gann Chen, Chun-Chih Wang, Shih-Hsun Huang
  • Publication number: 20120171377
    Abstract: A wafer carrier for use in a chemical vapor deposition apparatus includes at least one region on its outer surface having a substantially different (e.g., lower) emissivity than other regions on the outer surface. The modified emissivity region may be located on the outer edge, the top surface, and/or the bottom surface of the carrier. The region may be associated with one or more wafer pockets of the wafer carrier. The modified emissivity region may be shaped and sized so as to modify the heat transmission through the region, and thereby increase the temperature uniformity across portions of the top surface of the wafer carrier or across individual wafers. The modified emissivity region may be provided by a coating on the outer surface of the wafer carrier.
    Type: Application
    Filed: December 30, 2010
    Publication date: July 5, 2012
    Applicant: VEECO INSTRUMENTS INC.
    Inventors: Boris Volf, Guanghua Wei, Yuliy Rashkovsky
  • Publication number: 20120171376
    Abstract: A method of depositing a conformal coating on a porous non-ceramic substrate requires reactive gases to flow through the substrate so as to leave a conformal coating behind. The process can be used to leave a hydrophilic surface on the interior pores of the substrate, even when the substrate is of a naturally hydrophobic, e.g., olefinic material. The method can be used in a roll-to-roll process, or in a batch process. In some convenient embodiments of the latter case, the batch reactor and the conformally coated substrate or substrates can together go on to be come part of the end product, e.g., a filter body and the filter elements respectively.
    Type: Application
    Filed: September 15, 2010
    Publication date: July 5, 2012
    Inventor: Bill H. Dodge
  • Patent number: 8211499
    Abstract: A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.
    Type: Grant
    Filed: December 4, 2006
    Date of Patent: July 3, 2012
    Assignee: Lawrence Livermore National Security, LLC
    Inventors: Kevin C. O'Brien, Stephan A. Letts, Christopher M. Spadaccini, Jeffrey D. Morse, Steven R. Buckley, Larry E. Fischer, Keith B. Wilson
  • Publication number: 20120164329
    Abstract: The present invention relates generally to methods and apparatus for the controlled growing of material on substrates. According to embodiments of the present invention, a precursor fed is split in to two paths from a precursor source. One of the paths is restricted in a continuous manner. The other path is restricted in a periodic manner. The output of the two paths converges at a point prior to entry of the reactor. Therefore, a single precursor source is able to fed precursor in to a reactor under two different conditions, one which can be seen as mimicking ALD conditions and one which can be seen as mimicking CVD conditions. This allows for an otherwise single mode reactor to be operated in a plurality of modes including one or more ALD/CVD combination modes.
    Type: Application
    Filed: December 28, 2010
    Publication date: June 28, 2012
    Applicant: ASM INTERNATIONAL N.V.
    Inventor: Tom Blomberg
  • Publication number: 20120156374
    Abstract: A structure for a chemical vapor deposition reactor includes a support element defining oppositely-facing substantially planar upper and lower surfaces and a vertical rotational axis substantially perpendicular to the upper and lower surfaces, and a plurality of carrier sections releasably engaged with the support element. Each carrier section can include oppositely-facing substantially planar top and bottom surfaces and at least one aperture extending between the top and bottom surfaces. The carrier sections can be disposed on the support element with the bottom surfaces of the carrier sections facing toward the upper surface of the support element, so that wafers can be held in the apertures of the carrier sections with one surface of each wafer confronting the support element and an opposite surface exposed at the top surface of the carrier sections.
    Type: Application
    Filed: December 15, 2010
    Publication date: June 21, 2012
    Applicant: Veeco Instruments Inc.
    Inventors: Alexander I. Gurary, Joseph Arthur Kraus, Ajit Paranjpe, William E. Quinn, David Albert Crewe
  • Publication number: 20120156396
    Abstract: The invention relates to a CVD reactor comprising a heatable body (2, 3) disposed in a reactor housing, a heating device (4, 17) for heating the body (2, 3) located at a distance from the body (2, 3), and a cooling device (5, 18) located at a distance from the body (2, 3). The heatable body, the heating device, and the cooling device are arranged such that heat is transferred from the heating device (4, 17) across the space between the heating device (4, 17) and the body (2, 3) to the body (2, 3), and from the body (2, 3) across the space between the body (2, 3) and the cooling device (5, 18) to the cooling device (5, 18). In order to be able to affect the surface temperature of the heated process chamber walls in a locally reproducible manner, control bodies (6, 19) can be inserted into the space between the cooling and/or heating device (4, 5, 17, 18). During the thermal treatment or between sequential treatment steps, said bodies are displaced such that the heat transport is locally affected.
    Type: Application
    Filed: August 30, 2010
    Publication date: June 21, 2012
    Inventors: Gerhard Karl Strauch, Daniel Brien, Martin Dauelsberg
  • Patent number: 8202809
    Abstract: A semiconductor device manufacturing method includes: forming a layer on a heated substrate by supplying source gas into a process vessel; changing the layer into an oxide layer by supplying gases containing oxygen and hydrogen to the heated substrate in the process vessel under a pressure lower than atmospheric pressure; and forming an oxide film on the heated substrate by alternately repeating the forming of the layer and the changing of the layer while purging an inside of the process vessel therebetween. In the forming of the layer, the source gas is supplied toward the substrate through a nozzle at a side of the substrate, and inert or hydrogen-containing gas is supplied together with the source gas through the nozzle toward the substrate, such that the velocity of the source gas flowing parallel to the substrate is greater than the velocity of the inert gas flowing parallel to the substrate in the purging of the process vessel.
    Type: Grant
    Filed: November 19, 2010
    Date of Patent: June 19, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Yosuke Ota, Naonori Akae, Yushin Takasawa, Yoshiro Hirose
  • Publication number: 20120148746
    Abstract: The present invention provides a thin film manufacturing method which realizes stable, highly-efficient film formation using a nozzle-type evaporation source while avoiding unnecessary scattering and deposition of a film formation material after the termination of the film formation. Used is a film forming apparatus including: an evaporation chamber 16; a film forming chamber 17 in which a substrate 21 is provided; an evaporation source 19 holding a film formation material 15 and including an opening surface 14; a moving mechanism 35 configured to cause the evaporation source 19 to move; and a conductance variable structure 34.
    Type: Application
    Filed: June 1, 2011
    Publication date: June 14, 2012
    Inventors: Kazuyoshi Honda, Kunihiko Bessho, Takashi Shimada
  • Patent number: 8197597
    Abstract: The present invention is related to the field of semiconductor processing equipment and methods and provides, in particular, methods and equipment for the sustained, high-volume production of Group III-V compound semiconductor material suitable for fabrication of optic and electronic components, for use as substrates for epitaxial deposition, for wafers and so forth. In preferred embodiments, these methods are optimized for producing Group III-N (nitrogen) compound semiconductor wafers and specifically for producing GaN wafers. Specifically, the method includes reacting an amount of a gaseous Group III precursor as one reactant with an amount of a gaseous Group V component as another reactant in a reaction chamber under conditions sufficient to provide sustained high volume manufacture of the semiconductor material on one or more substrates, with the gaseous Group III precursor continuously provided at a mass flow of 50 g Group III element/hour for at least 48 hours.
    Type: Grant
    Filed: November 15, 2007
    Date of Patent: June 12, 2012
    Assignee: Soitec
    Inventors: Chantal Arena, Christiaan Werkhoven
  • Patent number: 8197898
    Abstract: A method and system for depositing a layer from a vaporized solid precursor. The method includes providing a substrate in a process chamber of a deposition system, forming a precursor vapor by light-induced vaporization of a solid precursor, and exposing the substrate to a process gas containing the precursor vapor to deposit a layer including at least one element from the precursor vapor on the substrate.
    Type: Grant
    Filed: March 29, 2005
    Date of Patent: June 12, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Gerrit J. Leusink
  • Publication number: 20120141677
    Abstract: The present invention provides a thin film manufacturing method which realizes stable, highly-efficient film formation using a nozzle-type evaporation source while avoiding unnecessary scattering and deposition of a film formation material before the start of the film formation. Used is a film forming apparatus including: an evaporation chamber 16; a film forming chamber 17 in which a substrate 21 is provided; an evaporation source 19 holding a film formation material 15 and including an opening surface 14; a moving mechanism 35 configured to cause the evaporation source 19 to move; and a conductance variable structure 34. The film forming chamber 17 and the evaporation chamber 16 are evacuated. In a state where the differential pressure between these chambers can be secured by the conductance variable structure 34, the nonreactive gas is introduced to the evaporation chamber 16 to adjust the pressure in the evaporation chamber 16 to predetermined pressure or more.
    Type: Application
    Filed: June 1, 2011
    Publication date: June 7, 2012
    Inventors: Kazuyoshi Honda, Kunihiko Bessho, Takashi Shimada
  • Patent number: 8192793
    Abstract: Coated cemented carbide inserts are particularly useful for wet or dry milling steels. The inserts are formed by a cemented carbide body including WC, NbC and a W-alloyed Co binder phase, and a coating including an innermost layer of TiCxNyOz, with equiaxed grains, a layer of TiCxNyOz with columnar grains and a layer of ?-Al2O3.
    Type: Grant
    Filed: September 16, 2011
    Date of Patent: June 5, 2012
    Assignee: Seco Tools AB
    Inventors: Anna Sandberg, Andreas Larsson, Tommy Larsson
  • Patent number: 8187698
    Abstract: The present invention relates to a cutting tool insert comprising a cemented carbide body and a coating particularly useful in fine to medium-rough turning of stainless-steels. The cemented carbide body consists of a cemented carbide with a composition of 5.0-9.0 wt-% Co, 5.0-11.0 wt-% cubic carbide forming metals from group IVb, Vb and VIb of the periodic table, preferably Ti, Nb and Ta, and balance WC with a 10-30 ?m essentially cubic carbide phase free and binder phase enriched surface zone. The coating comprises an MTCVD Ti(C7N) as the first layer adjacent the body having a thickness of from 2.5 to 7.0 ?m, on top of which an ?-Al2O3 layer is present, with a thickness of between 2.0 and 5.0 ?m, and a total thickness of the coating between 5.5 and 9.5 ?m. The alumina layer has a (006) texture.
    Type: Grant
    Filed: January 30, 2008
    Date of Patent: May 29, 2012
    Assignee: Seco Tools AB
    Inventors: Erik Sundstrom, Stefan Ostlund, Jenni Zackrisson
  • Patent number: 8187678
    Abstract: Ultra-thin hybrid and/or microporous materials and methods for their fabrication are provided. In one embodiment, the exemplary hybrid membranes can be formed including successive surface activation and reaction steps on a porous support that is patterned or non-patterned. The surface activation can be performed using remote plasma exposure to locally activate the exterior surfaces of porous support. Organic/inorganic hybrid precursors such as organometallic silane precursors can be condensed on the locally activated exterior surfaces, whereby ALD reactions can then take place between the condensed hybrid precursors and a reactant. Various embodiments can also include an intermittent replacement of ALD precursors during the membrane formation so as to enhance the hybrid molecular network of the membranes.
    Type: Grant
    Filed: November 14, 2008
    Date of Patent: May 29, 2012
    Assignee: STC.UNM
    Inventors: Ying-Bing Jiang, Joseph L. Cecchi, C. Jeffrey Brinker
  • Publication number: 20120121932
    Abstract: Ultrathin layers of organic polymers or organic-inorganic hybrid polymers are deposited onto a substrate using molecular layer deposition methods. The process uses vapor phase materials which contain a first functional group and react only monofunctionally at the surface to add a unit to the polymer chain. The vapor phase reactant in addition has a second functional group, which is different from the first functional group, or a blocked, masked or protected functional group, or else has a precursor to such a functional group.
    Type: Application
    Filed: November 13, 2007
    Publication date: May 17, 2012
    Inventors: Steven M. George, Arrelaine Allen Dameron, Nicole Marie Adamcyzk Keaner, Byunghoon Yoon
  • Patent number: 8178446
    Abstract: A method for forming a strained metal nitride film and a semiconductor device containing the strained metal nitride film. The method includes exposing a substrate to a gas containing a metal precursor, exposing the substrate to a gas containing a first nitrogen precursor configured to react with the metal precursor with a first reactivity characteristic, and exposing the substrate to a gas pulse containing a second nitrogen precursor configured to react with the metal precursor with a second reactivity characteristic different than the first reactivity characteristic such that a property of the metal nitride film formed on the substrate changes to provide a strained metal nitride film.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: May 15, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Robert D. Clark
  • Publication number: 20120114856
    Abstract: A deposition apparatus configured to form a thin film on a substrate includes: a reactor wall; a substrate support positioned under the reactor wall; and a showerhead plate positioned above the substrate support. The showerhead plate defines a reaction space together with the substrate support. The apparatus also includes one or more gas conduits configured to open to a periphery of the reaction space at least while an inert gas is supplied therethrough. The one or more gas conduits are configured to supply the inert gas inwardly toward the periphery of the substrate support around the reaction space. This configuration prevents reactant gases from flowing between a substrate and the substrate support during a deposition process, thereby preventing deposition of an undesired thin film and impurity particles on the back side of the substrate.
    Type: Application
    Filed: January 9, 2012
    Publication date: May 10, 2012
    Applicant: ASM GENITECH KOREA LTD.
    Inventors: Hyung Sang Park, Seung Woo Choi, Jong Su Kim, Dong Rak Jung, Jeong Ho Lee, Chun Soo Lee
  • Patent number: 8173213
    Abstract: A stabilized cyclic alkene composition comprising one or more cyclic alkenes, and at least one stabilizer compound having the Formula (I), R1,R2,R3,R4,R5(C6)OH??Formula (I) wherein R? through R5 can each independently be H, OH, C1-C8 linear, branched, or cyclic alkyl, C1-C8 linear, branched, or cyclic alkoxy or substituted or unsubstituted aryl, and wherein the stabilizer compound is present in an amount greater than 200 ppm up to 20,000 ppm and has a boiling point lower than 265° C. A method for forming a layer of carbon-doped silicon oxide on a substrate, which uses the stabilized alkene composition and a silicon containing compound.
    Type: Grant
    Filed: May 21, 2009
    Date of Patent: May 8, 2012
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Steven Gerard Mayorga, Mary Kathryn Haas, Mark Leonard O'Neill, Dino Sinatore
  • Patent number: 8173212
    Abstract: A method for manufacturing a carbon nano tube by a CVD method includes: supplying a carbon atom to a catalyzer for forming the carbon nano tube; and controlling an amount of carbon supply with time. In this method, super saturation of the carbon atom in the catalyzer is controlled appropriately. Thus, a caulking layer is prevented from being formed on the catalyzer, and therefore, the carbon nano tube having a sufficient length is obtained.
    Type: Grant
    Filed: April 22, 2008
    Date of Patent: May 8, 2012
    Assignee: DENSO CORPORATION
    Inventors: Hisayoshi Oshima, Shinichi Mukainakano
  • Publication number: 20120100292
    Abstract: Provided are a gas injection unit and apparatus and method for depositing a thin layer using the same. The gas injection unit includes: an inner pipe through which a reaction gas is introduced; an outer pipe enclosing the inner pipe, through which a cooling fluid cooling the reaction gas in the inner pipe flows; and injection pipes injecting the reaction gas in the inner pipe to an outside of the outer pipe.
    Type: Application
    Filed: September 6, 2010
    Publication date: April 26, 2012
    Applicant: SEMES CO., LTD.
    Inventor: Hyeong Soo Park
  • Patent number: RE43387
    Abstract: A cutting tool insert comprises a hard metal substrate having at least two wear-resistant coatings including an exterior ceramic coating and a coating under the ceramic coating being a metal carbonitride having a nitrogen to carbon-plus-nitrogen atomic ratio between 0.7 and 0.95 which causes the metal carbonitride to form projections into the ceramic coating improving adherence and fatigue strength of the ceramic coating.
    Type: Grant
    Filed: October 23, 1996
    Date of Patent: May 15, 2012
    Assignee: TDY Industries, LLC
    Inventors: Roy V. Leverenz, John Bost