Arrangements For Conducting Electric Current Within Device In Operation From One Component To Another, Interconnections, E.g., Wires, Lead Frames (epo) Patents (Class 257/E23.141)

  • Patent number: 8169061
    Abstract: The present invention provides a chip-stacked package structure with leadframe having bus bar, comprising: a leadframe composed of a plurality of inner leads arranged in rows facing each other, a plurality of outer leads, and a die pad, wherein the die pad is provided between the plurality of inner leads and is vertically distant from the plurality of inner leads; a chip-stacked structure formed with a plurality of chips that stacked together and set on the die pad, the plurality of chips and the plurality of inner leads being electrically connected with each other; and an encapsulant covering over the chip-stacked package structure and the leadframe, in which the leadframe comprises at least a bus bar, which is provided between the plurality of inner leads arranged in rows facing each other and the die pad.
    Type: Grant
    Filed: June 30, 2010
    Date of Patent: May 1, 2012
    Assignees: Chipmos Technologies Inc, Chipmos Technologies (Bermuda) Ltd
    Inventors: Geng-Shin Shen, Wu-Chang Tu
  • Patent number: 8169076
    Abstract: An integrated circuit structure includes a semiconductor substrate, and a polyimide layer over the semiconductor substrate. An under-bump-metallurgy (UBM) has a first portion over the polyimide layer, and a second portion level with the polyimide layer. A first solder bump and a second solder bump are formed over the polyimide layer, with a pitch between the first solder bump and the second solder bump being no more than 150 ?m. A width of the UBM equals one-half of the pitch plus a value greater than 5 ?m.
    Type: Grant
    Filed: August 6, 2009
    Date of Patent: May 1, 2012
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Mirng-Ji Lii, Chien-Hsiun Lee, Chen-Hua Yu, Shin-Puu Jeng, Chin-Yu Ku
  • Patent number: 8169077
    Abstract: Dielectric interconnect structures and methods for forming the same are provided. Specifically, the present invention provides a dielectric interconnect structure having a noble metal layer (e.g., Ru, Ir, Rh, Pt, RuTa, and alloys of Ru, Ir, Rh, Pt, and RuTa) that is formed directly on a modified dielectric surface. In a typical embodiment, the modified dielectric surface is created by treating an exposed dielectric layer of the interconnect structure with a gaseous ion plasma (e.g., Ar, He, Ne, Xe, N2, H2, NH3, and N2H2). Under the present invention, the noble metal layer could be formed directly on an optional glue layer that is maintained only on vertical surfaces of any trench or via formed in the exposed dielectric layer. In addition, the noble metal layer may or may not be provided along an interface between the via and an internal metal layer.
    Type: Grant
    Filed: August 4, 2008
    Date of Patent: May 1, 2012
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Louis C. Hsu, Rajiv V. Joshi
  • Patent number: 8169065
    Abstract: Stackable circuit structures and methods of fabrication are provided employing first level metallization directly on a chips-first layer(s), which includes: a chip(s), each with a pad mask over its upper surface and openings exposing its contact pads; electrically conductive structures; and structural dielectric material surrounding the side surfaces of the chips and the conductive structures. Each chips-first layer further includes a metallization layer on the front surface of the layer, residing at least partially on the pad mask and extending over an edge of the chip. Together, the pad mask and the structural material electrically isolate the metallization layer from the chip. Input/output interconnect structures physically and electrically contact the metallization layer over the front surface and/or the lower surfaces of the electrically conductive structures at the back surface of the chips-first layer, to facilitate input/output connection to chips of the layers in a stack.
    Type: Grant
    Filed: December 22, 2009
    Date of Patent: May 1, 2012
    Assignee: EPIC Technologies, Inc.
    Inventors: James E. Kohl, Charles W. Eichelberger
  • Publication number: 20120098129
    Abstract: A method of making a multi-chip module may include forming an interconnect layer stack on a sacrificial substrate. The interconnect layer stack may include patterned electrical conductor layers and a dielectric layer between adjacent patterned electrical conductor layers. The method may further include electrically coupling a first integrated circuit (IC) die in a flip chip arrangement to an uppermost patterned electrical conductor layer, and forming a first underfill dielectric layer between the first IC die and adjacent portions of the interconnect layer stack. The method further may include removing the sacrificial substrate to expose a lowermost patterned electrical conductor layer, and electrically coupling at a second integrated circuit die in a flip chip arrangement to the lowermost patterned electrical conductor layer. Still further, the method may include forming a second underfill dielectric layer between the second IC die and adjacent portions of the interconnect layer stack.
    Type: Application
    Filed: October 22, 2010
    Publication date: April 26, 2012
    Applicant: Harris Corporation
    Inventors: Thomas Reed, David Herndon, David Nicol, Michael Weatherspoon
  • Patent number: 8164191
    Abstract: A semiconductor device including a semiconductor element and a functional member fixed thereto with an adhesive film is provided, where the performance or reliability degradation due to moisture entered by way of the adhesive film itself or the interfaces between the adhesive film and members adjacent thereto can be suppressed with a simple structure. The semiconductor element has an active region for realizing a predetermined function, formed on a surface of the element. The functional member has a predetermined function and is fixed on a surface side of the semiconductor element with the adhesive film. A metal film covers a region including at least all outer side faces of the semiconductor element, all outer side faces of the adhesive film, an interface between the adhesive film and the semiconductor element, and an interface between the adhesive film and the functional member.
    Type: Grant
    Filed: February 27, 2009
    Date of Patent: April 24, 2012
    Assignee: Zycube Co., Ltd.
    Inventor: Hirofumi Nakamura
  • Publication number: 20120091595
    Abstract: A device having layered integrated circuit (IC) chips is provided. The chip comprises notches, conductive area, apertures, and routing pool. A conductive material is set in the apertures. The second chip is layered on the first chip. The notches of the second chip are corresponding to the first conducting area of the first chip. A conductive material is also set in the notch between the conductive area of the first chip and the notches of the second chip. Thus, a system is integrated by layering the first chip and the second chip for enhancing flexibility and reliability of circuit layout.
    Type: Application
    Filed: October 18, 2010
    Publication date: April 19, 2012
    Applicant: MAO BANG ELECTRONIC CO., LTD.
    Inventors: Sung Chuan MA, Jimmy Liang
  • Publication number: 20120091510
    Abstract: An object of the present invention is to reduce processing time and manufacturing cost for a semiconductor device including a logic circuit. To accomplish the above object, an area (114) for forming a logic circuit includes a first area (114b, 170) which is subjected to optical proximity correction with predetermined accuracy, and a second area (114a, 180) which is subjected to optical proximity correction with accuracy lower than said predetermined accuracy. Especially, the first area (114b, 170) includes a gate interconnection line (172) which acts as a transistor, and the second area (114a, 180) includes a dummy layout pattern (182) which does not act as a transistor.
    Type: Application
    Filed: December 21, 2011
    Publication date: April 19, 2012
    Applicant: Renesas Electronics Corporation
    Inventors: Hironobu TAOKA, Yusaku Ono
  • Patent number: 8158504
    Abstract: Embodiments of the invention relate to a silicon semiconductor device, and a conductive paste for use in the front side of a solar cell device.
    Type: Grant
    Filed: May 28, 2009
    Date of Patent: April 17, 2012
    Assignee: E. I. du Pont de Nemours and Company
    Inventors: Hideki Akimoto, Takuya Konno, Giovanna Laudisio, Patricia J. Ollivier, Michael Rose, Jerome David Smith, Richard John Sheffield Young
  • Patent number: 8159052
    Abstract: A chip assembly includes a chip, a paddle, an interface layer, a frequency extending device, and lands. The chip has contacts. The interface layer is disposed between the chip and the paddle. The frequency extending device has at least a conductive layer and a dielectric layer. The conductive layer has conductive traces. The frequency extending device is disposed adjacent to the side of the chip and overlying the paddle. The lands are disposed adjacent to the side of the paddle. The contacts are connected to the conductive traces. The conductive traces are connected to the lands. The frequency extending device is configured to reduce impedance discontinuity such that the impedance discontinuity produced by the frequency extending device is less than an impedance discontinuity that would be produced by bond wires each having a length greater than or substantially equal to the distance between the contacts and the lands.
    Type: Grant
    Filed: July 1, 2008
    Date of Patent: April 17, 2012
    Assignee: Semtech Corporation
    Inventors: Binneg Y. Lao, William W. Chen
  • Publication number: 20120086115
    Abstract: A method of manufacture of an integrated circuit packaging system includes: providing an integrated circuit; mounting a routing structure having a functional side above the integrated circuit; mounting a vertical interconnect to the functional side of the routing structure and the vertical interconnect extending vertically away from the routing structure; forming an encapsulation covering the integrated circuit, the routing structure, and sides of the vertical interconnect above the routing structure, and leaves a surface of the routing structure exposed from the encapsulation, and a portion of the vertical interconnect exposed from the encapsulation above the surface of the routing structure; mounting a first-external-package-component to the routing structure; and forming a first-external-package-encapsulation covering the first-external-package-component.
    Type: Application
    Filed: December 13, 2011
    Publication date: April 12, 2012
    Inventors: A Leam Choi, Kenny Lee, In Sang Yoon, HanGil Shin
  • Publication number: 20120086050
    Abstract: An embodiment of this invention uses a massive parallel interconnect fabric (MPIF) at the flipped interface of a core die substrate (having the core logic blocks) and a context die (used for in circuit programming/context/customization of the core die substrate), to produce ASIC-like density and FPGA-like flexibility/programmability, while reducing the time and cost for development and going from prototyping to production, reducing cost per die, reducing or eliminating NRE, and increasing performance. Other embodiments of this invention enable debugging complex SoC through large contact points provided through the MPIF, provide for multi-platform functionality, and enable incorporating FGPA core in ASIC platform through the MPIF.
    Type: Application
    Filed: December 17, 2011
    Publication date: April 12, 2012
    Inventors: Majid Bemanian, Farhang Yazdani
  • Publication number: 20120086113
    Abstract: Embodiments of the invention relate to a method for creating a flexible circuit, including defining a cavity in a top surface of a substrate before disposing a semiconductor chip within the cavity, such that a backside of the chip is disposed beneath the top surface of the substrate and above a bottom surface of the cavity. The method also includes forming a flexible connecting layer on the top surface of the substrate and extending over the chip. Other embodiments relate to a flexible circuit including a substrate defining a cavity in a top surface thereof. The cavity has encapsulant and a chip disposed therein, wherein a frontside of the chip is substantially coplanar with the top surface of the substrate. A flexible connecting layer is disposed on the top surface of the substrate and is partially supported by the substrate.
    Type: Application
    Filed: October 6, 2011
    Publication date: April 12, 2012
    Inventors: Brian Smith, Maria Cardoso
  • Patent number: 8153521
    Abstract: A wafer-level stack package includes semiconductor chips, first connection members, a second connection member, a substrate and an external connection terminal. The semiconductor chips have a power/ground pad and a signal pad. The first connection members are electrically connected to the power/ground pad and the signal pad of each of the semiconductor chips. The second connection member is electrically connected to at least one of the power/ground pads of each of the semiconductor chips, the power/ground pads being connected to the first connection members. The substrate supports the stacked semiconductor chips, the substrate including wirings that are electrically connected to the first connection members and the second connection member. The external connection terminal is provided on a surface of the substrate opposite to a surface where the semiconductor chips are stacked, wherein the external connection terminals are electrically connected to the wirings, respectively.
    Type: Grant
    Filed: December 8, 2010
    Date of Patent: April 10, 2012
    Assignee: SAMSUNG Electronics Co., Ltd.
    Inventors: Sun-Won Kang, Seung-Duk Baek
  • Publication number: 20120080792
    Abstract: There is provided a method for forming a metal interlayer via, comprising: forming a seed layer on a first dielectric layer and a first metal layer embedded in the first dielectric layer; forming a mask pattern on the seed layer to expose a portion of the seed layer covering some of the first metal layer; growing a second metal layer on the exposed portion of the seed layer; removing the mask pattern and a portion of the seed layer carrying the mask pattern to expose side walls of the second metal layer, a portion of the first metal layer and the first dielectric layer; forming an insulating barrier layer on the side walls, the portion of the first metal layer and the first dielectric layer. There is also provided a method for forming a metal interconnection line. Both of them can suppress the occurrence of voids.
    Type: Application
    Filed: February 17, 2011
    Publication date: April 5, 2012
    Applicant: Institute of Microelectronics, Chinese Academy of Sciences
    Inventor: Chao Zhao
  • Publication number: 20120080803
    Abstract: A semiconductor component and methods for manufacturing the semiconductor component that includes a three dimensional helically shaped common mode choke. In accordance with embodiments, a transient voltage suppression device may be coupled to the monolithically integrated common mode choke.
    Type: Application
    Filed: October 1, 2010
    Publication date: April 5, 2012
    Inventors: Phillip Holland, Rong Liu, Umesh Sharma, Der Min Liou, David D. Marreiro, Sudhama C. Shastri
  • Patent number: 8148798
    Abstract: The semiconductor device includes a capacitor 36 formed over a semiconductor substrate 10 and including a lower electrode 30, a dielectric film 32 and an upper electrode 34; a first insulation film 58 formed above the capacitor 36; a first interconnection 88a formed over the first insulation film 68; a second insulation film 90 formed over the first insulation film 68 and over the first interconnection 88a; an electrode pad 102 formed over the second insulation film 90: and a monolithic conductor 100 buried in the second insulation film 90 immediately below the electrode pad 102 and buried through the second insulation film 90 down to a part of at least the first insulation layer 68.
    Type: Grant
    Filed: September 5, 2008
    Date of Patent: April 3, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Takahiro Yamagata
  • Patent number: 8148816
    Abstract: A semiconductor device in which a plurality of semiconductor chips is stacked. A first semiconductor chip is stacked in a region, on a second semiconductor chip, in which a circuit that generates noise is not disposed within said second semiconductor chip, and a wire of a circuit that easily receives noise within said first semiconductor chip is disposed so as not to extend over said circuit that generates noise.
    Type: Grant
    Filed: January 11, 2008
    Date of Patent: April 3, 2012
    Assignee: NEC Corporation
    Inventors: Hideki Sasaki, Yuuki Fujimura, Katsumi Kikuchi
  • Patent number: 8148804
    Abstract: A wiring device for a semiconductor device, a composite wiring device for a semiconductor device and a resin-sealed semiconductor device are provided, each of which is capable of mounting thereon a semiconductor chip smaller than conventional chips and being manufactured at lower cost. The wiring device electrically connects an electrode provided on a semiconductor chip with an external wiring device, and has an insulating layer, a metal substrate and a copper wiring layer. The metal substrate is provided on one side of the insulating layer. The copper wiring layer is provided on another side of the insulating layer. The wiring device has a semiconductor chip support portion provided on the side of the copper wiring layer with respect to the insulating layer. The copper wiring layer includes a first terminal, a second terminal and a wiring portion. The first terminal is connected with the electrode provided on the semiconductor chip. The second terminal is connected with the external wiring device.
    Type: Grant
    Filed: January 13, 2009
    Date of Patent: April 3, 2012
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Susumu Baba, Masachika Masuda, Hiromichi Suzuki
  • Publication number: 20120074576
    Abstract: Interconnects for optoelectronic devices are described. An interconnect may include a stress relief feature. An interconnect may include an L-shaped feature.
    Type: Application
    Filed: September 29, 2010
    Publication date: March 29, 2012
    Inventors: Ryan Linderman, Keith Johnston, Thomas Phu, Matthew Dawson
  • Publication number: 20120074588
    Abstract: A method of manufacture of an integrated circuit packaging system includes: providing an integrated circuit device having chip interconnects; applying an attachment layer directly on the integrated circuit device; attaching a device stiffener to the integrated circuit device with the attachment layer; attaching a chip carrier to the chip interconnects with the device stiffener attached to the integrated circuit device for controlling warpage of the integrated circuit device to prevent the warpage from causing some of the chip interconnects to separate from the chip carrier during attachment of the chip interconnects to the chip carrier; and applying an underfill between the chip carrier and the integrated circuit device for controlling connectivity of all the chip interconnects to the chip carrier.
    Type: Application
    Filed: September 24, 2010
    Publication date: March 29, 2012
    Inventors: Yung Kuan Hsiao, Xusheng Bao, Kang Chen, Hin Hwa Goh, Rui Huang
  • Publication number: 20120074589
    Abstract: One or more integrated circuit chips are flip-chip bonded to a first surface of a substrate. A contact array is fabricated on a second surface of the substrate. Corner structures attached to the integrated circuit chip cover at least two corners of the IC chip.
    Type: Application
    Filed: September 27, 2010
    Publication date: March 29, 2012
    Applicant: XILINX, INC.
    Inventors: Mohsen H. Mardi, David M. Mahoney
  • Publication number: 20120074467
    Abstract: According to one embodiment, a switch array includes first and second switches provided in a switch unit. The first switch includes first and second memory cell transistors and a first pass transistor. A second switch includes third and fourth memory cell transistors and a second pass transistor. The first and second memory cell transistor is provided in a first active region. The first pass transistor is provided in a second active region in the substrate. The third and fourth memory cell transistor is provided in the first active region. The second pass transistor is provided in the second active region adjacent to the first pass transistor in the channel length direction. The first and second active regions are adjacent to each other in a channel width direction.
    Type: Application
    Filed: August 10, 2011
    Publication date: March 29, 2012
    Inventor: Keiko Abe
  • Publication number: 20120074580
    Abstract: Methods of forming a microelectronic packaging structure and associated structures formed thereby are described. Those methods may include a die embedded in a coreless substrate, wherein a mold compound surrounds the die, and wherein the die comprises TSV connections on a first side and C4 pads on a second side of the die, a dielectric material on a first side and on a second side of the mold compound; and interconnect structures coupled to the C4 pads and to the TSV pads. Embodiments further include forming packaging structures wherein multiple dies are fully embedded within a BBUL package without PoP lands.
    Type: Application
    Filed: September 24, 2010
    Publication date: March 29, 2012
    Inventors: Ravi K. Nalla, Mathew J. Manusharow, Pramod Malatkar
  • Publication number: 20120074532
    Abstract: A semiconductor package includes a substrate and a semiconductor device. The semiconductor device includes a body having a center, a layer disposed adjacent to the body, and a plurality of conductive pillars configured to electrically connect the semiconductor device to the substrate. The layer defines a plurality of openings. Each of the plurality of conductive pillars extends at least partially through a corresponding one of the plurality of openings. An offset between a first central axis of the each of the plurality of conductive pillars and a second central axis of the corresponding one of the plurality of openings varies with distance between the first central axis and the center of the body. The second central axis of the corresponding one of the plurality of openings is disposed between the first central axis of the each of the plurality of conductive pillars and the center of the body.
    Type: Application
    Filed: April 12, 2011
    Publication date: March 29, 2012
    Applicant: ADVANCED SEMICONDUCTOR ENGINEERING, INC.
    Inventors: MENG-KAI SHIH, CHANG-CHI LEE
  • Patent number: 8143096
    Abstract: An integrated circuit package system includes: providing a substrate having a top side with a trace conductor connected to a bottom side with a system interconnect; forming a bump ring on the substrate, the bump ring having an inner cavity area over the trace conductor and an outer bump area; applying a substrate mask layer adjacent a perimeter of the outer bump area; connecting a device to the trace conductor below the bump ring; and applying a compound between the device and the substrate.
    Type: Grant
    Filed: August 19, 2008
    Date of Patent: March 27, 2012
    Assignee: STATS ChipPAC Ltd.
    Inventors: SooMoon Park, KyungHoon Lee
  • Patent number: 8143709
    Abstract: A semiconductor package having a solder ball having a double connection structure which reduces a total height of a package on package (POP). The semiconductor package includes a first semiconductor package in which a semiconductor device is mounted on a lower surface of a first substrate, and a through hole is formed in a solder ball pad region of the first substrate, a second semiconductor package in which a semiconductor device is mounted on an upper surface of a second substrate, and a solder ball pad of the second substrate is formed to correspond to the through hole of the first substrate and is mounted on the first substrate, and a common solder ball that is disposed below the first substrate and is connected to the solder ball pad of the second substrate through the through hole.
    Type: Grant
    Filed: May 29, 2009
    Date of Patent: March 27, 2012
    Assignee: Samsung Electronics Co., Ltd
    Inventor: Hye-Jin Kim
  • Patent number: 8143705
    Abstract: The invention relates to a tamper-resistant semiconductor device comprising a substrate (5) comprising an electronic circuit arranged on a first side thereof. An electrically-conductive protection layer (50, 50a, 50b) is arranged on a second side of the substrate (5) opposite to the first side. At least three through-substrate electrically-conductive connections (45) extend from the first side of the substrate (5) into the substrate (5) and in electrical contact with the electrically-conductive protection layer (50, 50a, 50b) on the second side of the substrate (5). A security circuit is arranged on the first side connected to the through-substrate electrically-conductive connections (45) and is arranged for measuring at least two resistance values (R12, R23, R34, R14, R13, R24) of the electrically-conductive protection layer (50, 50a, 50b) through the through-substrate electrically-conductive connections (45).
    Type: Grant
    Filed: July 29, 2008
    Date of Patent: March 27, 2012
    Assignee: NXP B.V.
    Inventors: Johannes A. J. Van Geloven, Pim T. Tuyls, Robertus A. M. Wolters, Nynke Verhaegh
  • Publication number: 20120068330
    Abstract: A method of fabricating a semiconductor assembly can include providing a semiconductor element having a front surface, a rear surface, and a plurality of conductive pads, forming at least one hole extending at least through a respective one of the conductive pads by processing applied to the respective conductive pad from above the front surface, forming an opening extending from the rear surface at least partially through a thickness of the semiconductor element, such that the at least one hole and the opening meet at a location between the front and rear surfaces, and forming at least one conductive element exposed at the rear surface for electrical connection to an external device, the at least one conductive element extending within the at least one hole and at least into the opening, the conductive element being electrically connected with the respective conductive pad.
    Type: Application
    Filed: September 17, 2010
    Publication date: March 22, 2012
    Applicant: TESSERA RESEARCH LLC
    Inventors: Vage Oganesian, Belgacem Haba, Ilyas Mohammed, Craig Mitchell, Piyush Savalia
  • Publication number: 20120068347
    Abstract: Methods for fabricating a device and related device structures are provided herein. According to one embodiment, a method for fabricating a device includes the acts of producing a substrate; forming a structure on the substrate having a lower dielectric layer, a metal layer, an upper dielectric layer, a planarizing layer, and a layer of photoresist material; developing the photoresist material according to a mask pattern; etching the planarizing layer and the upper dielectric layer according to the mask pattern; removing the photoresist material and the planarizing layer upon etching of the planarizing layer and the upper dielectric layer; applying a selective metal growth or metal/organic film to respective exposed portions of the metal layer following etching of the upper dielectric layer, thereby obtaining an inverted mask pattern; and etching at least the metal layer and the lower dielectric layer according to the inverted mask pattern.
    Type: Application
    Filed: September 20, 2010
    Publication date: March 22, 2012
    Applicant: TOSHIBA AMERICA ELECTRONIC COMPONENTS, INC.
    Inventors: Atsunobu Isobayashi, Masao Ishikawa
  • Publication number: 20120068338
    Abstract: A microelectronic assembly is disclosed that is capable of achieving a desired impedance for raised conductive elements. The microelectronic assembly may include an interconnection element, a surface conductive element, a microelectronic device, a plurality of raised conductive elements, and a bond element. The microelectronic device may overlie the dielectric element and at least one surface conductive element attached to the front surface. The plurality of raised conductive elements may connect the device contacts with the element contacts. The raised conductive elements may have substantial portions spaced a first height above and extending at least generally parallel to at least one surface conductive element, such that a desired impedance may be achieved for the raised conductive elements. A bond element may electrically connect at least one surface conductive element with at least one reference contact that may be connectable to a source of reference potential.
    Type: Application
    Filed: September 16, 2010
    Publication date: March 22, 2012
    Applicant: TESSERA RESEARCH LLC
    Inventors: Belgacem Haba, Ellis Chau, Wael Zohni, Philip Damberg, Richard Dewitt Crisp
  • Publication number: 20120068350
    Abstract: A semiconductor package, an electronic device, and an electronic system employing the same are provided. The semiconductor package includes a printed circuit board (PCB) and a semiconductor chip structure. A first PCB land region is provided on a first surface of the PCB. A plurality of first chip land regions are provided on a first surface of the semiconductor chip structure which faces the first surface of the PCB. A first connection structure for electrically connecting the first PCB land region to the plurality of first chip land regions is provided.
    Type: Application
    Filed: September 20, 2011
    Publication date: March 22, 2012
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Tong-Suk Kim, Woo-Jae Kim, Yun-Seok Choi, Seon-Hyang You
  • Publication number: 20120068365
    Abstract: A microelectronic assembly includes an interconnection element, element contacts, first and second metal layers, conductive elements, and first and second microelectronic devices. The first metal layer may extend beyond at least one of the edges of the first microelectronic device. The conductive elements may respectively extend beyond at least one of the edges of the first metal layer. The first metal layer may have a surface disposed at a substantially uniform spacing from at least substantial portions of the conductive elements, such that a desired impedance may be achieved for the conductive elements. The conductive elements may be spaced a smaller distance from the metal layer than the distance of the conductive elements from the front surface of the first microelectronic device. The second metal layer may be connectable to a source of reference potential.
    Type: Application
    Filed: September 16, 2010
    Publication date: March 22, 2012
    Applicant: TESSERA RESEARCH LLC
    Inventors: Belgacem Haba, Ellis Chau, Wael Zohni, Richard Dewitt Crisp
  • Publication number: 20120068346
    Abstract: A method for forming structure aligned with features underlying an opaque layer is provided for an interconnect structure, such as an integrated circuit. In one embodiment, the method includes forming an opaque layer over a first layer, the first layer having a surface topography that maps to at least one feature therein, wherein the opaque layer is formed such that the surface topography is visible over the opaque layer. A second feature is positioned and formed in the opaque layer by reference to such surface topography.
    Type: Application
    Filed: September 20, 2010
    Publication date: March 22, 2012
    Applicant: International Business Machines Corporation
    Inventors: SHOM PONOTH, David V. Horak, Elbert E. Huang, Sivananda K. Kanakasabapathy, Charles W. Koburger, III, Chih-Chao Yang
  • Publication number: 20120068349
    Abstract: A tape package providing a plurality of input and output portions each having a minimum pitch. The tape package includes a tape wiring substrate including first and second wirings, and a semiconductor chip mounted on the tape wiring substrate, and including a first edge, a first pad disposed adjacent to the first edge, and a second pad disposed to be farther spaced apart from the first edge than the first pad, where the first wiring is connected to a portion of the first pad that is spaced from the first edge by a first distance, and where the second wiring is connected to a portion of the second pad that is spaced from the first edge by a second distance that is greater than the first distance.
    Type: Application
    Filed: September 1, 2011
    Publication date: March 22, 2012
    Applicant: Samsung Electronics Co., Ltd
    Inventors: Dong-han Kim, So-young Lim
  • Patent number: 8138027
    Abstract: A semiconductor device is made by providing a semiconductor die having an optically active area, providing a leadframe or pre-molded laminated substrate having a plurality of contact pads and a light transmitting material disposed between the contact pads, attaching the semiconductor die to the leadframe so that the optically active area is aligned with the light transmitting material to provide a light transmission path to the optically active area, and disposing an underfill material between the semiconductor die and leadframe. The light transmitting material includes an elevated area to prevent the underfill material from blocking the light transmission path. The elevated area includes a dam surrounding the light transmission path, an adhesive ring, or the light transmission path itself can be the elevated area. An adhesive ring can be disposed on the dam. A filler material can be disposed between the light transmitting material and contact pads.
    Type: Grant
    Filed: March 7, 2008
    Date of Patent: March 20, 2012
    Assignee: STATS ChipPAC, Ltd.
    Inventors: Zigmund R. Camacho, Henry D. Bathan, Lionel Chien Hui Tay, Arnel Senosa Trasporto
  • Patent number: 8138078
    Abstract: A mechanically stable diffusion barrier stack structure and method of fabricating the same is disclosed. The diffusion barrier stack structure having a molybdenum nitride layer deposited on a molybdenum layer and operates to prevent diffusion between a semiconductor layer and a metal interconnect. The method for fabricating includes depositing a molybdenum layer outwardly from the semiconductor layer in a deposition chamber, and depositing a molybdenum nitride layer outwardly from the molybdenum layer in the deposition chamber.
    Type: Grant
    Filed: July 18, 2008
    Date of Patent: March 20, 2012
    Assignee: Teledyne Scientific & Imaging, LLC
    Inventors: Haluk Sankur, Mason Thomas
  • Patent number: 8138549
    Abstract: A system for displaying images is disclosed. A display panel comprises a first substrate and a second substrate with a liquid crystal layer interposed therebetween. A sealant is interposed between the first substrate and a second substrate for sealing the liquid crystal layer. A dielectric layer is overlying the first substrate. Metal lines are overlying the dielectric layer under and/or near the sealant. A planarization layer covers and contacts the dielectric layer and the metal lines to form a first interface between the metal lines and the planarization layer and a second interface between the dielectric layer and the planarization layer. Bridge lines without contacting the planarization layer are disposed under and/or near the sealant, instead of at least a portion of the metal lines contacting the planarization layer.
    Type: Grant
    Filed: January 12, 2007
    Date of Patent: March 20, 2012
    Assignee: Chimei Innolux Corporation
    Inventors: Jung-Huang Lan, Yih-Shing Lee, Cheng-Hsin Chen, Hsxg-Ju Sung
  • Publication number: 20120061794
    Abstract: Methods of fabricating semiconductor structures include providing a sacrificial material within a via recess, forming a first portion of a through wafer interconnect in the semiconductor structure, and replacing the sacrificial material with conductive material to form a second portion of the through wafer interconnect. Semiconductor structures are formed by such methods. For example, a semiconductor structure may include a sacrificial material within a via recess, and a first portion of a through wafer interconnect that is aligned with the via recess. Semiconductor structures include through wafer interconnects comprising two or more portions having a boundary therebetween.
    Type: Application
    Filed: September 10, 2010
    Publication date: March 15, 2012
    Applicant: S.O.I. TEC SILICON ON INSULATOR TECHNOLOGIES
    Inventor: Mariam Sadaka
  • Publication number: 20120061816
    Abstract: Provided are a semiconductor package and method of fabricating the same. The package includes an interconnection substrate, a semiconductor chip mounted on the interconnection substrate, a lateral wire bonded on the interconnection substrate and configured to enclose a side surface of the semiconductor chip, and a metal layer disposed on the semiconductor chip and electrically connected to the lateral wire.
    Type: Application
    Filed: September 8, 2011
    Publication date: March 15, 2012
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Sang-Sub SONG, Sang-Ho An, Joon-Young Oh, Dong-Ok Kwak, Joon-Ki Park
  • Publication number: 20120061845
    Abstract: In various embodiments, a method for filling a contact hole in a chip package arrangement is provided. The method may include introducing electrically conductive discrete particles into a contact hole of a chip package; and forming an electrical contact between the electrically conductive particles and a contact terminal of the front side and/or the back side of the chip.
    Type: Application
    Filed: September 10, 2010
    Publication date: March 15, 2012
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Benjamin Alles, Joachim Mahler, Edward Fuergut, Ivan Nikitin
  • Publication number: 20120061820
    Abstract: Provided is a method for manufacturing an electronic component by using a solder joining method for bonding a first electronic component having a metal electrode with a second electronic component having a solder electrode, the method comprising; (i) forming a resin layer containing a thermosetting resin on at least one of the solder joint surfaces of said first electronic component and said second electronic component; (ii) positioning said metal electrode of said first electronic component and said solder electrode of said second electronic component to face each other, heating said positioned electrodes and applying pressure, and thereby bringing said metal electrode and said solder electrode into contact; (iii) heating electronic components while applying pressure thereby fusion bonding said solder to said metal electrode; and (iv) heating said resin layer.
    Type: Application
    Filed: July 9, 2010
    Publication date: March 15, 2012
    Inventors: Kenzou Maejima, Satoru Katsurayama, Toru Meura
  • Publication number: 20120061838
    Abstract: A method of forming a barrier layer for metal interconnects of an integrated circuit device includes forming a first cap layer over a top surface of a conductive line of the integrated circuit device in a manner that facilitates a controllable dose of oxygen provided to the top surface of the conductive line, the conductive line comprising a metal formed over a seed layer that is an impurity alloy of the metal; and annealing the integrated circuit device so as to combine diffused impurity atoms of the seed layer with the controllable dose of oxygen, thereby forming an impurity oxide layer at an interface between the first cap layer and the top surface of the conductive line.
    Type: Application
    Filed: September 15, 2010
    Publication date: March 15, 2012
    Applicant: International Business Machines Corporation
    Inventors: Daniel C. Edelstein, Takeshi Nogami, Hosadurga K. Shobha
  • Patent number: 8133762
    Abstract: A semiconductor device is made by providing a sacrificial substrate and depositing an adhesive layer over the sacrificial substrate. A first conductive layer is formed over the adhesive layer. A polymer pillar is formed over the first conductive layer. A second conductive layer is formed over the polymer pillar to create a conductive pillar with inner polymer core. A semiconductor die or component is mounted over the substrate. An encapsulant is deposited over the semiconductor die or component and around the conductive pillar. A first interconnect structure is formed over a first side of the encapsulant. The first interconnect structure is electrically connected to the conductive pillar. The sacrificial substrate and adhesive layers are removed. A second interconnect structure is formed over a second side of the encapsulant opposite the first interconnect structure. The second interconnect structure is electrically connected to the conductive pillar.
    Type: Grant
    Filed: March 17, 2009
    Date of Patent: March 13, 2012
    Assignee: STATS ChipPAC, Ltd.
    Inventors: Reza A. Pagaila, Byung Tai Do, Shuangwu Huang
  • Publication number: 20120056327
    Abstract: A ramp-stack chip package is described. This chip package includes a vertical stack of semiconductor dies or chips that are offset from each other in a horizontal direction, thereby defining a terrace with exposed pads. A high-bandwidth ramp component, which is positioned approximately parallel to the terrace, is electrically and mechanically coupled to the exposed pads. For example, the ramp component may be coupled to the semiconductor dies using: solder, microsprings and/or an anisotropic conducting film. Furthermore, each of the semiconductor dies includes a static bend so that an end segment of each of the semiconductor dies is parallel to the direction and is mechanically coupled to the ramp component. These end segments may facilitate high-bandwidth communication of signals between the chips and the ramp component, for example, via proximity communication.
    Type: Application
    Filed: September 2, 2010
    Publication date: March 8, 2012
    Applicant: ORACLE INTERNATIONAL CORPORATION
    Inventors: John A. Harada, David C. Douglas, Robert J. Drost
  • Publication number: 20120056324
    Abstract: Substrates having molded dielectric layers and methods of fabricating such substrates are disclosed. The substrates may advantageously be used in microelectronic assemblies having high routing density.
    Type: Application
    Filed: October 20, 2011
    Publication date: March 8, 2012
    Applicant: TESSERA, INC.
    Inventors: Belgacem Haba, Craig S. Mitchell, Apolinar Alvarez, JR.
  • Patent number: 8129745
    Abstract: The instant pulse filter according to the present invention, which may cause a malfunction or a short life span of a semiconductor device, is made using an aluminum anodic oxidation, comprising—a first step for forming an aluminum thin film layer on an upper side of an insulator substrate; a second step for forming an aluminum oxide thin film layer having a pore by oxidizing the aluminum thin film layer by means of an anodic oxidation; a third step for depositing a metallic material on an upper side of the aluminum thin film layer for filling the pore; a fourth step for forming a nano rod in the interior of the aluminum oxide thin film layer by eliminating the metallic material deposited except in the pore; a fifth step for forming an internal electrode on an upper side of the aluminum oxide thin film layer having the nano rod; a sixth step for forming a protective film layer on an upper side of the same in order to protect the aluminum oxide thin film layer and the internal electrode from the external enviro
    Type: Grant
    Filed: April 3, 2009
    Date of Patent: March 6, 2012
    Assignee: Nextron Corporation
    Inventors: Hak Beom Moon, Jin Hyung Cho, Suc Hyun Bang, Cheol Hwan Kim, Yoon Hyung Jang
  • Publication number: 20120049377
    Abstract: A semiconductor device and a method of forming patterns on a semiconductor device are disclosed. The semiconductor device may include high-density patterns with a minimum size that may be less the resolution limit of a photolithography process, and may have a substrate including a memory cell region and an adjacent connection region, a plurality of first conductive lines extending from the memory cell region to the connection region in a first direction, a plurality of second conductive lines connected from respective first conductive lines to a plurality of pads having a width equal to twice the width of each of the first conductive lines. The method may include two levels of spacer formation to provide sub resolution line widths and spaces as well as selected multiples of the minimum line widths and spaces.
    Type: Application
    Filed: January 3, 2011
    Publication date: March 1, 2012
    Inventors: Song-Yi Yang, Seung-pil Chung, Dong-hyun Kim, O-ik Kwon, Hong Cho
  • Patent number: 8125059
    Abstract: A highly flexible semiconductor device of a stacked-type semiconductor device which transfers information by inductive coupling between inductors, in which LSI chips can be stacked even when a transmitter circuit and a receiver circuit are arranged at different positions from each other when viewed in a stacking direction. The semiconductor device has an interposer including a first inductor which is inductively coupled with a transmitter circuit of a first LSI chip to be stacked, and a second inductor which is inductively coupled with a receiver circuit of a second LSI chip to be stacked, the first inductor and the second inductor being electrically connected. An interchip communication is made from the first LSI chip to the second LSI chip.
    Type: Grant
    Filed: October 29, 2009
    Date of Patent: February 28, 2012
    Assignee: Hitachi, Ltd.
    Inventors: Kiyoto Ito, Koji Hosogi, Takanobu Tsunoda
  • Publication number: 20120043642
    Abstract: A semiconductor device includes a first signal wiring, a first dummy wiring, and a second dummy wiring. The first signal wiring is configured to be supplied with a first signal potential. The first dummy wiring is insulated from the first wiring. The first dummy wiring is configured to be supplied with a fixed potential. The second dummy wiring is disposed between the first signal wiring and the first dummy wiring. The second dummy wiring is insulated from the first dummy wiring. The second dummy wiring is configured to be supplied with substantially the same potential as the first signal potential.
    Type: Application
    Filed: August 1, 2011
    Publication date: February 23, 2012
    Applicant: ELPIDA MEMORY,INC.
    Inventor: Koji Kuroki