Coating By Vapor, Gas, Or Smoke Patents (Class 427/248.1)
  • Patent number: 8968839
    Abstract: There is provided a method for producing a surface-treated metallic material, by use of which a metallic material having a stable and excellent sliding characteristic can be produced with a low environmental load without covering the metallic material surface with an oxide film. The method for producing a surface-treated metallic material includes immersing an anode and a cathode in an electrolyte solution, placing a metallic material used as a material to be treated above the surface of the electrolyte solution, and applying a voltage between the anode and the cathode to treat the metallic material surface, the voltage being equal to or higher than a voltage for causing a complete plasma state.
    Type: Grant
    Filed: November 24, 2011
    Date of Patent: March 3, 2015
    Assignee: JFE Steel Corporation
    Inventors: Masayasu Nagoshi, Kaoru Sato, Seiichi Watanabe, Souki Yoshida
  • Publication number: 20150053667
    Abstract: A three-dimensional ceramic heater, such as a cylindrical ceramic heater, is proposed in which the conductive ceramic heating element is multi-furcated into lanes at least in the folded-back sections so that the electric current tends to flow in a more uniform and hence laminar manner with the effect that the localized over heating is suppressed greatly.
    Type: Application
    Filed: August 5, 2014
    Publication date: February 26, 2015
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Takuma Kushihashi, Noboru Kimura, Kazuyoshi Tamura
  • Publication number: 20150056370
    Abstract: A thin film deposition apparatus includes a mask in contact with a first surface of a substrate, a magnet plate above a second surface of the substrate and configured to pull the mask toward the first surface of the substrate, the second surface being an opposite surface to the first surface, and an insulating member between the magnet plate and the second surface of the substrate.
    Type: Application
    Filed: March 17, 2014
    Publication date: February 26, 2015
    Applicant: Samsung Display Co., Ltd.
    Inventor: Jae-Cheol Lee
  • Publication number: 20150056436
    Abstract: The invention relates to a method for producing a ceramic layer (18) on a surface formed from a Ni base alloy (17), comprising the following steps: producing on the surface a ceramic layer (18) containing ZrO2 as a main constituent; producing a gas phase having a temperature in the range from 400 to 900° C., in which a vapour formed from a salt melt (8) with the components alkali chloride, alkali sulphate and ZnCl2 is contained in a carrier gas formed from an inert gas with an addition from 0.5 to 10% by weight HCl; and bringing the ceramic layer (18) into contact with the gas phase for a period of time that is sufficient for an intermediate layer (19) having a thickness of at least 0.1 ?m to form between the ceramic layer (18) and the surface.
    Type: Application
    Filed: January 14, 2013
    Publication date: February 26, 2015
    Inventors: Karl Thomas Fehr, Yaping Ye, Gerhard Wolf
  • Patent number: 8962077
    Abstract: A vapor deposition particle emitting device (30) includes a hollow rotor (40) provided with a first and a second nozzle sections (50 and 60), a rolling mechanism, and heat exchangers (52 and 62), and when the rolling mechanism causes the rotor (40) to rotate, the heat exchangers (52 and 62) switch between cooling and heating in accordance with placement of the nozzle section so that that one of the nozzle sections which faces outward has a temperature lower than a temperature at which vapor deposition material turns into gas and the other nozzle section has a temperature equal to or higher than the temperature at which the vapor deposition material turns into the gas.
    Type: Grant
    Filed: March 7, 2012
    Date of Patent: February 24, 2015
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Satoshi Inoue, Shinichi Kawato, Tohru Sonoda
  • Publication number: 20150047784
    Abstract: A method for applying a temporary bonding layer to a carrier wafer for temporary joining to a product wafer by fusion bonding or anodic bonding, said method comprising: applying a temporary bonding layer which is suitable for fusion bonding or anodic bonding to the carrier wafer and modifying the temporary bonding layer during and/or after application such that the temporary connection of the temporary bonding layer can be broken.
    Type: Application
    Filed: December 16, 2013
    Publication date: February 19, 2015
    Applicant: EV GROUP E. THALLNER GMBH
    Inventor: Jurgen Burggraf
  • Patent number: 8956698
    Abstract: Systems and methods for depositing complex thin-film alloys on substrates are provided. In particular, systems and methods for the deposition of thin-film Cd1-xMxTe ternary alloys on substrates using a stacked-source sublimation system are provided, where M is a metal such as Mg, Zn, Mn, and Cu.
    Type: Grant
    Filed: April 7, 2014
    Date of Patent: February 17, 2015
    Assignee: Colorado State University Research Foundation
    Inventors: Walajabad S. Sampath, Pavel S. Kobyakov, Kevin E. Walters, Davis R. Hemenway
  • Patent number: 8956697
    Abstract: A method of manufacturing an organic light-emitting display apparatus and an organic light-emitting display apparatus manufactured by using the method. A method of manufacturing an organic light-emitting display apparatus includes continuously depositing an organic layer of a linear pattern on a substrate; depositing a second electrode on the organic layer; and forming a passivation layer on the second electrode to cover the second electrode.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: February 17, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventor: Dong-Kyu Lee
  • Publication number: 20150040532
    Abstract: A fiber of carbon nanotubes was prepared by a wet-spinning method involving drawing carbon nanotubes away from a substantially aligned, supported array of carbon nanotubes to form a ribbon, wetting the ribbon with a liquid, and spinning a fiber from the wetted ribbon. The liquid can be a polymer solution and after forming the fiber, the polymer can be cured. The resulting fiber has a higher tensile strength and higher conductivity compared to dry-spun fibers and to wet-spun fibers prepared by other methods.
    Type: Application
    Filed: April 29, 2014
    Publication date: February 12, 2015
    Inventors: Yuntian T. Zhu, Paul Arendt, Xiefel Zhang, Qingwen Li, Lei Fu, Lianxi Zheng
  • Patent number: 8951615
    Abstract: Systems and methods for producing a material of desired thickness. Deposition techniques such as atomic layer deposition are alter to control the thickness of deposited material. A funtionalization species inhibits the deposition reaction.
    Type: Grant
    Filed: February 10, 2012
    Date of Patent: February 10, 2015
    Assignee: UChicago Argonne, LLC
    Inventors: Jeffrey W. Elam, Angel Yanguas-Gil
  • Patent number: 8951610
    Abstract: An organic layer deposition apparatus that may be precisely aligned with a substrate during a deposition process. The apparatus includes: a deposition source; a deposition source nozzle unit; and a patterning slit sheet, which is spaced from and smaller than the substrate, and having a plurality of patterning slits arranged in a second direction perpendicular to the first direction. Deposition is performed while the substrate is moved relative to the deposition apparatus in the first direction. The patterning slit sheet includes first and second alignment marks that are spaced from each other. The substrate includes first and second alignment patterns that are spaced from each other. The deposition apparatus also includes first and second camera assemblies for respectively photographing the first alignment mark/pattern and the second alignment mark/pattern. A moving speed of the substrate is synchronized with shooting speeds of the first and second camera assemblies.
    Type: Grant
    Filed: May 9, 2012
    Date of Patent: February 10, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventors: Uno Chang, Jae-Kwang Ryu
  • Patent number: 8951444
    Abstract: In a method for functionalizing a carbon nanotube surface, the nanotube surface is exposed to at least one vapor including at least one functionalization species that non-covalently bonds to the nanotube surface, providing chemically functional groups at the nanotube surface, producing a functionalized nanotube surface. A functionalized nanotube surface can be exposed to at least one vapor stabilization species that reacts with the functionalization layer to form a stabilization layer that stabilizes the functionalization layer against desorption from the nanotube surface while providing chemically functional groups at the nanotube surface, producing a stabilized nanotube surface. The stabilized nanotube surface can be exposed to at least one material layer precursor species that deposits a material layer on the stabilized nanotube surface.
    Type: Grant
    Filed: June 22, 2010
    Date of Patent: February 10, 2015
    Assignee: President and Fellows of Harvard College
    Inventors: Roy G. Gordon, Damon B. Farmer
  • Publication number: 20150037802
    Abstract: The present invention provides a novel method to fabricate silica nanostructures on thin polymer films based on silica deposition and self-wrinkling induced by thermal shrinkage. These micro- and nano-scale structures have vastly enlarged the specific area of silica, thus the silica nanomembranes can be used for solid phase extraction of nucleic acids. The inventive silica nanomembranes are suitable for nucleic acid purification and isolation and demonstrated better performance than commercial particles in terms of DNA recovery yield and integrity. In addition, the silica nanomembranes have extremely high nucleic acid capacity due to its significantly enlarged specific surface area of silica. Methods of use and devices comprising the silica nanomembranes are also provided.
    Type: Application
    Filed: July 28, 2014
    Publication date: February 5, 2015
    Inventors: Tza-Huei Wang, Yi Zhang
  • Patent number: 8945675
    Abstract: The present disclosure relates to the deposition of conductive titanium oxide films by atomic layer deposition processes. Amorphous doped titanium oxide films are deposited by ALD processes comprising titanium oxide deposition cycles and dopant oxide deposition cycles and are subsequently annealed to produce a conductive crystalline anatase film. Doped titanium oxide films may also be deposited by first depositing a doped titanium nitride thin film by ALD processes comprising titanium nitride deposition cycles and dopant nitride deposition cycles and subsequently oxidizing the nitride film to form a doped titanium oxide film. The doped titanium oxide films may be used, for example, in capacitor structures.
    Type: Grant
    Filed: May 29, 2008
    Date of Patent: February 3, 2015
    Assignee: ASM International N.V.
    Inventors: Viljami Pore, Mikko Ritala, Markku Leskelä
  • Patent number: 8945676
    Abstract: The invention relates to a method and an apparatus for coating one or more objects (1) by exposing an object (1) to alternately repeating surface reactions of two or more gaseous precursors. The apparatus comprises a reaction chamber (2, 40), means for forming at least one distinct precursor region inside the reaction chamber, and means for causing translational, essentially mechanically unsupported and unsuspended, motion of an object (1) inside the reaction chamber, relative to the reaction chamber, for bringing the surface of the object (1) into contact with a gaseous precursor, the means for causing the translational motion comprising means for moving the object (1) essentially through the at least one distinct precursor region inside the reaction chamber.
    Type: Grant
    Filed: March 25, 2010
    Date of Patent: February 3, 2015
    Assignee: Beneq Oy
    Inventor: Jarmo Maula
  • Publication number: 20150030766
    Abstract: A technique and apparatus for cleaning the underside of a pedestal in a single- or multi-station semiconductor processing chamber or tool are provided. Also provided is an integrated vacuum foreline manifold having symmetric flow path lengths that may be used in multi-station semiconductor processing chamber or tool.
    Type: Application
    Filed: July 25, 2013
    Publication date: January 29, 2015
    Inventors: Gary B. Lind, Abhishek A. Manohar, Yan Guan, Raashina Humayun
  • Patent number: 8940367
    Abstract: A coating installation includes at least one recipient which can be evacuated and which is provided to receive a substrate, at least one gas supply device which can introduce at least one gaseous precursor into the recipient, and at least one activation device which contains at least one heatable activation element, the end thereof being secured to a securing point on a support element. A shielding element which can protect at least the securing point at least partially against the effect of the gaseous precursor is provided. The shielding element has a longitudinal extension having a first side and a second side, the first side being arranged on the support element and a locking element being arranged on the second side of the shielding element, the locking element having at least one outlet. At least one separation wall is arranged inside the shielding element, the wall separating the inner volume of the shielding element into a first partial volume and into a second partial volume.
    Type: Grant
    Filed: May 13, 2010
    Date of Patent: January 27, 2015
    Assignee: Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V.
    Inventors: Tino Harig, Markus Höfer, Artur Laukart, Lothar Schäfer, Markus Armgardt
  • Patent number: 8940368
    Abstract: A vapor deposition apparatus includes a stage on which a substrate is mounted; a heater unit that is disposed at a side of the stage and includes a first heater and a second heater, wherein the first heater and the second heater are movable so that the first heater and the second heater are spaced apart from each other or are disposed adjacent to each other; and a nozzle unit that is disposed at a side opposite to the side at which the heater unit is disposed about the stage and includes one or more nozzles.
    Type: Grant
    Filed: June 22, 2012
    Date of Patent: January 27, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventors: Choel-Min Jang, Myung-Soo Huh, Jeong-Ho Yi, Cheol-Rae Jo, Sang-Joon Seo, Seung-Hun Kim, Jin-Kwang Kim
  • Patent number: 8940366
    Abstract: An apparatus and method for treating subject materials with compositions includes a material treatment section for treating a subject material with a composition of a silane-containing material and a hydrocarbon solvent to form a treated material, and a neutralizing section for neutralizing the treated material such that the treated material has a pH in a range of approximately 7 to approximately 8.
    Type: Grant
    Filed: June 15, 2009
    Date of Patent: January 27, 2015
    Assignee: Petra International Holdings, LLC
    Inventors: John Christopher Cameron, Edwin A. Neal
  • Publication number: 20150020686
    Abstract: The present invention relates to a hydrogen separation membrane which coats granular ceramic onto the surface of a porous metal support and which coats a hydrogen permeation metal thereon so as to inhibit diffusion between the support and a hydrogen separation layer, and to a method for manufacturing same. As a result, the metal support can be modularized with ease, the hydrogen permeation layer can be made thinner to increase the amount of hydrogen permeation, the use of a separation material can be minimized, and the hydrogen separation membrane can have higher competitiveness.
    Type: Application
    Filed: January 2, 2013
    Publication date: January 22, 2015
    Applicant: Korea Institute of Energy Research
    Inventors: Jong-Soo Park, Kyung-Ran Hwang, Shin-Kun Ryi, Tae-Hwan Kim, Chun-Boo Lee, Sung-Wook Lee
  • Publication number: 20150013213
    Abstract: Novel devices and methods of capturing, controlling and preventing infestation of insects using microfabricated surfaces are provided. In particular, a mechanism of insect capture inspired by the microstructures of the leaf surfaces of plants and the key features of those surfaces with respect to the capture and control of pests have been determined and engineered into a variety of microfabricated surfaces capable of reproducing the effectiveness of these physical capture methods.
    Type: Application
    Filed: February 26, 2013
    Publication date: January 15, 2015
    Inventors: Catherine Loudon, Robert Corn, Megan Szyndler, Kenneth Haynes, Michael F. Potter
  • Patent number: 8932663
    Abstract: Methods for forming bone implants for the repair of the ends of bones at orthopedic joints, which implants have a Young's modulus close to that of human cortical bone. Substrates of dense isotropic graphite are coated overall with hard, microporous, isotropic pyrocarbon of specific character such that it can be polished to serve as an articular surface and can also securely receive an anchoring first metal layer through PVD. The first layer has a character such that, by thermal spraying a second biocompatible metal layer thereupon, fusion occurs and thereby anchors an outermost layer that is formed with a network of randomly interconnected pores and a surface character of peaks and valleys designed to promote enhanced appositional growth of cortical bone at the interface therewith.
    Type: Grant
    Filed: February 19, 2013
    Date of Patent: January 13, 2015
    Assignee: Ascension Orthopedics, Inc.
    Inventors: Joseph P. Ritz, Clive Scott
  • Publication number: 20150010718
    Abstract: The invention relates to a method for manufacturing thin films on substrates, the method comprising providing a deposition system, said system comprising an inner non-airtight enclosure for containing at least one substrate and an outer airtight chamber completely surrounding said enclosure, and providing at least one substrate in the inner non-airtight enclosure. The inner non-airtight enclosure is maintained at a pressure lower than the pressure within said outer airtight chamber, and a backfilling gas comprising at least hydrogen or helium is introduced into the outer airtight chamber volume.
    Type: Application
    Filed: December 20, 2012
    Publication date: January 8, 2015
    Inventors: Stephan Jost, Devendra Chaudhary, Markus Klindworth
  • Patent number: 8927060
    Abstract: There is provided a method for forming a Ge—Sb—Te film having a composition of Ge2Sb2Te5 on a substrate by a CVD method using a gaseous Ge source material, a gaseous Sb source material and a gaseous Te source material. The method includes loading the substrate within a processing chamber (Process 1); performing a first stage film forming process on the substrate by supplying the gaseous Ge source material and the gaseous Sb source material (Process 2); and performing a second stage film forming process on a film obtained through the first stage film forming process by supplying the gaseous Sb source material and the gaseous Te source material (Process 3). The Ge—Sb—Te film is formed by the film obtained through Process 2 and by a film obtained through Process 3.
    Type: Grant
    Filed: June 2, 2010
    Date of Patent: January 6, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Yumiko Kawano, Susumu Arima
  • Patent number: 8927434
    Abstract: A method of producing a patterned inorganic thin film dielectric stack includes providing a substrate. A first patterned deposition inhibiting material layer is provided on the substrate. A first inorganic thin film dielectric material layer is selectively deposited on a region of the substrate where the first deposition inhibiting material layer is not present using an atomic layer deposition process. The first deposition inhibiting and first inorganic thin film dielectric material layers are simultaneously treated after deposition of the first inorganic thin film dielectric material layer. A second patterned deposition inhibiting material layer is provided on the substrate. A second inorganic thin film dielectric material layer is selectively deposited on a region of the substrate where the second deposition inhibiting material layer is not present using an atomic layer deposition process.
    Type: Grant
    Filed: August 31, 2012
    Date of Patent: January 6, 2015
    Assignee: Eastman Kodak Company
    Inventors: Carolyn R. Ellinger, David H. Levy, Shelby F. Nelson
  • Patent number: 8927051
    Abstract: A method for manufacturing a compound film comprising a substrate and at least one additional layer is disclosed. The method comprising the steps of depositing at least two chemical elements on the substrate and/or on the at least one additional layer using depositions sources, maintaining depositing of the at least two chemical elements while the substrate and the deposition sources are being moved relative to each other, measuring the compound film properties, particularly being compound film thickness, compound-film overall composition, and compound-film composition in one or several positions of the compound film, comparing the predefined values for the compound film properties to the measured compound film properties, and adjusting the deposition of the at least two chemical elements in case the measured compound film properties do not match the predefined compound film properties.
    Type: Grant
    Filed: September 12, 2007
    Date of Patent: January 6, 2015
    Assignee: FLISOM AG
    Inventors: Dominik Rudmann, Marc Kaelin, Thomas Studer, Felix Budde
  • Patent number: 8927056
    Abstract: A dielectric layer 2 is formed on a region including grid-shaped convex portions 1a of a resin substrate 1 having the grid-shaped convex portions 1a with pitches of 80 nm to 120 nm on its surface, and metal wires 3 are formed on the dielectric layer 2. It is thereby possible to obtain a wire grid polarizer having a microstructural concavo-convex grid with pitches of the level of 120 nm or less that has not been implemented.
    Type: Grant
    Filed: October 16, 2006
    Date of Patent: January 6, 2015
    Assignee: Asahi Kasei Kabushiki Kaisha
    Inventors: Hiroshi Yamaki, Yusuke Sato, Takuji Namatame, Yasuyuki Kawazu
  • Patent number: 8926851
    Abstract: A method for making a film of core-shell nanoparticles generally uniformly arranged on a substrate uses atomic layer deposition (ALD) to form the shells. The nanoparticle cores are placed in a solution containing a polymer having an end group for attachment to the cores. The solution is then applied to a substrate and allowed to dry, resulting in the nanoparticle cores being uniformly arranged by the attached polymer chains. ALD is then used to grow the shell material on the cores, using two precursors for the shell material that are non-reactive with the polymer. The polymer chains also form between the cores and the substrate surface, so the ALD forms shell material completely surrounding the cores. The uniformly arranged core-shell nanoparticles can be used as an etch mask to etch the substrate.
    Type: Grant
    Filed: November 18, 2012
    Date of Patent: January 6, 2015
    Assignee: HGST Netherlands B.V.
    Inventors: Jeffrey S. Lille, Ricardo Ruiz, Lei Wan, Gabriel Zeltzer
  • Publication number: 20150004313
    Abstract: A method for chemical vapor deposition using a showerhead through which at least one reactive gas and a purge gas are injected over a substrate, wherein the method includes: disposing the showerhead such that the bottom surface of the showerhead is spaced apart from the substrate by a predetermined distance; supplying a reactive gas and an injection support gas into the showerhead, wherein reactive gases of different kinds are respectively delivered into compartments formed at inside of the showerhead; mixing each reactive gas with its corresponding injection support gas in each mixing zone at inside of the showerhead; supplying a purge gas into a separated compartment at inside of the showerhead; and injecting the reactive gas mixed with the injection support gas and the purge gas through a plurality of reactive gas exits and a plurality of purge gas exits formed at the bottom surface of the showerhead, respectively.
    Type: Application
    Filed: September 18, 2014
    Publication date: January 1, 2015
    Inventors: Chul Soo Byun, Man Cheol Han
  • Publication number: 20150004312
    Abstract: An deposition apparatus for forming a deposition material layer on a substrate is described. The deposition apparatus includes a substrate support adapted for holding a substrate; and an edge (660) exclusion mask (640) for covering a periphery of the substrate (610) during layer deposition. The mask has at least one frame portion defining an aperture. The at least one frame portion of the mask is adapted for being moved (670,680) with respect to the substrate depending on the amount of deposition material deposited on the at least one frame portion of the mask. Further, a method for depositing a deposition material layer on a substrate using an edge exclusion mask is described.
    Type: Application
    Filed: August 9, 2011
    Publication date: January 1, 2015
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Evelyn Scheer, Markus Hanika, Ralph Lindenberg, Marcus Bender, Andreas Lopp, Konrad Schwanitz, Fabio Pieralisi, Jian Liu
  • Patent number: 8920937
    Abstract: A protective coating system includes a nickel-aluminum-zirconium alloy coating having beta phase nickel-aluminum and at least one phase selected from gamma phase nickel and the gamma prime phase nickel-aluminum. The nickel-aluminum-zirconium alloy coating comprises 10 vol % to 60 vol % of the beta phase nickel-aluminum or 25 vol % to 75 vol % of the beta phase nickel-aluminum.
    Type: Grant
    Filed: August 5, 2007
    Date of Patent: December 30, 2014
    Assignee: United Technologies Corporation
    Inventors: David A. Litton, Venkatarama K. Seetharaman, Michael J. Maloney, Benjamin J. Zimmerman, Brian S. Tryon
  • Patent number: 8920875
    Abstract: To provide a ruthenium compound suitable for a chemical vapor deposition method (CVD method). A liquid cyclooctatetraenetricarbonyl ruthenium complex represented by the following Formula (1) is obtained by irradiating a solution mixture of dodecacarbonyl triruthenium and a cyclooctatetraene with light. A satisfactory ruthenium film or ruthenium oxide film can be easily obtained by a chemical vapor deposition method using the complex as a raw material.
    Type: Grant
    Filed: January 8, 2010
    Date of Patent: December 30, 2014
    Assignee: Tanaka Kikinzoku Kogyo K.K.
    Inventors: Kazuharu Suzuki, Masayuki Saito
  • Patent number: 8920888
    Abstract: A chuck and a wafer supported thereon are rotated during a plasma process or a film deposition process to reduce thickness non-uniformity of a film processed or deposited on the wafer.
    Type: Grant
    Filed: April 4, 2012
    Date of Patent: December 30, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Lung Yang, Ying Xiao, Chin-Hsiang Lin
  • Patent number: 8920877
    Abstract: Processes for preparation of an epitaxial graphene surface to make it suitable for deposition of high-? oxide-based dielectric compounds such as Al2O3, HfO2, TaO5, or TiO2 are provided. A first process combines ex situ wet chemistry conditioning of an epitaxially grown graphene sample with an in situ pulsing sequence in the ALD reactor. A second process combines ex situ dry chemistry conditioning of the epitaxially grown graphene sample with the in situ pulsing sequence.
    Type: Grant
    Filed: July 1, 2013
    Date of Patent: December 30, 2014
    Assignee: The United States of America, as represented by the Secretary of the Navy
    Inventors: Nelson Garces, Virginia D. Wheeler, David Kurt Gaskill, Charles R. Eddy, Jr., Glenn G. Jernigan
  • Publication number: 20140377504
    Abstract: An article comprises a body and at least one protective layer on at least one surface of the body. The at least one protective layer is a thin film having a thickness of less than approximately 20 microns that comprises a ceramic selected from a group consisting of Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12 and a ceramic compound comprising Y4Al2O9 and a solid-solution of Y2O3—ZrO2.
    Type: Application
    Filed: June 17, 2014
    Publication date: December 25, 2014
    Inventors: Jennifer Y. Sun, Biraja P. Kanungo, Vahid Firouzdor, Tom Cho
  • Patent number: 8916237
    Abstract: A method of manufacturing a thin film on a substrate including: disposing the substrate to be separated from a thin film deposition apparatus by a preset distance; passing vaporized deposition material through first slits of a first nozzle, the first slits arranged in a first direction; passing the vaporized deposition material received from the first slits through second slits of a second nozzle of the thin film deposition apparatus; using an adjusting member including an actuator set to adjust an orientation of the second nozzle relative to a deposition target area on the substrate on which the deposition material from the second nozzle is to be deposited; and depositing the deposition material from the second nozzle onto the deposition target area while the thin film deposition apparatus or the substrate is moved relative to the other, the second nozzle defining a pattern of deposition material on the substrate, is disclosed.
    Type: Grant
    Filed: May 21, 2010
    Date of Patent: December 23, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Choong-Ho Lee, Jung-Min Lee
  • Patent number: 8916055
    Abstract: A processing method and apparatus uses at least one electric field applicator (34) biased to produce a spatial-temporal electric field to affect a processing medium (26), suspended nano-objects (28) or the substrate (30) in processing, interacting with the dipole properties of the medium (26) or particles to construct structure on the substrate (30). The apparatus may include a magnetic field, an acoustic field, an optical force, or other generation device. The processing may affect selective localized layers on the substrate (30) or may control orientation of particles in the layers, control movement of dielectrophoretic particles or media, or cause suspended particles of different properties to follow different paths in the processing medium (26). Depositing or modifying a layer on the substrate (30) may be carried out.
    Type: Grant
    Filed: July 31, 2012
    Date of Patent: December 23, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Jozef Brcka, Jacques Faguet, Eric M. Lee, Hongyu Yue
  • Patent number: 8911826
    Abstract: A method of parallel shift operation of multiple reactors includes: (i) continuously supplying n gases numbered 1 to n simultaneously to n gas ports via n main gas lines, respectively, at a constant flow rate, wherein one of branch gas lines of each main gas line is in an open state so that the inflow rates of the respective reactors are equal, and the outflow rates of the respective reactors are equal; and (ii) while maintaining the inflow rates and the outflow rates of the respective reactors, simultaneously closing the one of the branch gas lines of each main gas line in the open state and opening another one of the branch gas lines of each main gas line so that different numbered gases are continuously supplied to the respective reactors in parallel without changing the inflow rates and the outflow rates of the reactors.
    Type: Grant
    Filed: August 2, 2012
    Date of Patent: December 16, 2014
    Assignee: ASM IP Holding B.V.
    Inventors: Wataru Adachi, Jeongsok Ha
  • Publication number: 20140360978
    Abstract: Provided is a method of manufacturing a liquid ejection head including: a substrate having energy generating elements disposed thereon; and an ejection orifice forming member having ejection orifices, the substrate and the ejection orifice forming member forming a flow path therebetween, the method including: forming, on the substrate, a mold having a recessed portion at a position corresponding to a region in which each of the ejection orifices is formed and in a vicinity of the position; forming a coating layer by chemical vapor deposition so as to cover the mold; and forming the ejection orifices through the coating layer to obtain the ejection orifice forming member.
    Type: Application
    Filed: May 27, 2014
    Publication date: December 11, 2014
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Masaya Uyama
  • Publication number: 20140363573
    Abstract: The disclosure discloses an evaporation device and evaporation method. The evaporation device includes a main chamber for accommodating the evaporation source and the substrate; a first extension chamber and a second extension chamber respectively and alternately communicating with the main chamber; and a first metal mask inside the first extension chamber, intermittently entering the main chamber, and a second metal mask inside the second extension chamber, intermittently entering the main chamber with respective to the first metal mask, such that the evaporation material is able to pass the first or the second metal mask and then on the substrate.
    Type: Application
    Filed: June 6, 2014
    Publication date: December 11, 2014
    Applicant: EverDisplay Optronics (Shanghai) Limited
    Inventors: Chiachen LI, Tianwang HUANG
  • Patent number: 8906455
    Abstract: This invention discloses the method of forming silicon nitride, silicon oxynitride, silicon oxide, carbon-doped silicon nitride, carbon-doped silicon oxide and carbon-doped oxynitride films at low deposition temperatures. The silicon containing precursors used for the deposition are monochlorosilane (MCS) and monochloroalkylsilanes. The method is preferably carried out by using plasma enhanced atomic layer deposition, plasma enhanced chemical vapor deposition, and plasma enhanced cyclic chemical vapor deposition.
    Type: Grant
    Filed: September 21, 2012
    Date of Patent: December 9, 2014
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Liu Yang, Xinjian Lei, Bing Han, Manchao Xiao, Eugene Joseph Karwacki, Jr., Hansong Cheng
  • Patent number: 8904819
    Abstract: An evaporator includes a vaporization chamber having a monomer inlet and a vapor outlet. There is a vapor nozzle in the vapor outlet. The evaporator also includes a collar positioned between the vaporization chamber and the vapor nozzle which increases the pressure in the evaporation chamber while the conductance through the nozzle is substantially unchanged.
    Type: Grant
    Filed: November 4, 2013
    Date of Patent: December 9, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Damien Boesch, Martin Rosenblum
  • Patent number: 8906454
    Abstract: Methods for depositing metal-polymer composite materials atop a substrate are provided herein. In some embodiments, a method of depositing a metal-polymer composite material atop a substrate disposed in a hot wire chemical vapor deposition (HWCVD) chamber may include flowing a current through a plurality of filaments disposed in the HWCVD chamber, the filaments comprising a metal to be deposited atop a substrate; providing a process gas comprising an initiator and a monomer to the HWCVD chamber; and depositing a metal-polymer composite material on the substrate using species decomposed from the process gas and metal atoms ejected from the plurality of filaments.
    Type: Grant
    Filed: September 10, 2012
    Date of Patent: December 9, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Sukti Chatterjee, Amit Chatterjee
  • Patent number: 8906453
    Abstract: A tool for harvesting polycrystalline silicon-coated rods from a chemical vapor deposition reactor includes a body including outer walls sized for enclosing the rods within the outer walls. Each outer wall includes a door for allowing access to at least one of the rods.
    Type: Grant
    Filed: March 13, 2012
    Date of Patent: December 9, 2014
    Assignee: MEMC Electronics Materials, S.p.A.
    Inventors: Rodolfo Bovo, Paolo Molino, Diego Gava
  • Patent number: 8906456
    Abstract: A device for depositing at least one especially thin layer onto at least one substrate includes a process chamber housed in a reactor housing and includes a movable susceptor which carries the at least one substrate. A plurality of gas feed lines run into said process chamber and feed different process gases which comprise layer-forming components. Said process gases can be fed to the process chamber in subsequent process steps, thereby depositing the layer-forming components onto the substrate. In order to increase throughput, the process chamber is provided with a plurality of separate deposition chambers into which different gas feed lines run, thereby feeding individual gas compositions. The substrate can be fed to said chambers one after the other by moving the susceptor and depositing different layers or layer components.
    Type: Grant
    Filed: September 26, 2013
    Date of Patent: December 9, 2014
    Assignee: Aixtron, Inc.
    Inventors: Piotr Strzyzewski, Peter Baumann, Marcus Schumacher, Johannes Lindner, Antonio Mesquida Küsters
  • Patent number: 8907115
    Abstract: A compound that is useful for forming a metal by reaction with a reducing agent is described by formula (I): wherein M is a metal selected from Groups 3 through 12 of the Periodic Table; R1 and R2 are each independently H or C1-C6 alkyl; and R3 is H or C1-C8 alkyl.
    Type: Grant
    Filed: December 10, 2012
    Date of Patent: December 9, 2014
    Assignee: Wayne State University
    Inventors: Charles H. Winter, Lakmal C. Kalutarage
  • Publication number: 20140356534
    Abstract: Method for chemical vapor infiltration of refractory substances, wherein a porous structure is subjected in a reaction zone to the flow of a gas containing at least one gaseous precursor, wherein the partial pressure of the precursor and the dwell time of the gas are set at a given temperature in such a manner that a deposition reaction of the precursor occurs in the porous structure in the partial pressure range of the saturation adsorption and the reaction of the precursor is limited in each stage of the infiltration in such a manner that during the flow through the reaction zone no more than 50% of the precursor are deposited as a solid phase in the porous structure, and the exposure of the porous structure to the flow occurs in a stack of superimposed layers through ring-shaped vertical circumferential gaps (A, B) as well as through transverse gaps (C) which are open towards the circumferential gaps (A, B).
    Type: Application
    Filed: January 9, 2013
    Publication date: December 4, 2014
    Applicant: CVT GmbH & Co. KG
    Inventors: Rainer Hegermann, Philipp Goetz
  • Publication number: 20140356589
    Abstract: A dye sublimated synthetic burlap flag that includes the use of a synthetic burlap material made from polyethylene and the printing of a design upon the material using dye sublimation printing and high fastness inks. A method of creating such a flag includes creating a synthetic fabric to resemble burlap fabric using polyethylene fibers, printing a design on the synthetic fabric using high fastness ink, and incorporating the synthetic fabric into an article.
    Type: Application
    Filed: May 28, 2014
    Publication date: December 4, 2014
    Applicant: Evergreen Enterprises of Virginia, LLC
    Inventor: Timothy A. Lamm
  • Publication number: 20140356613
    Abstract: A carbon nanotube studded carbon fiber tow and matrix prepreg includes a body comprising a tow of surface fibers and interior bulk fibers. The surface fibers are studded with carbon nanotubes and the carbon fibers are infiltrated with a matrix material.
    Type: Application
    Filed: May 31, 2013
    Publication date: December 4, 2014
    Applicants: Materials Sciences Corporation, The University of Kentucky Research Foundation
    Inventors: Matthew Collins Weisenberger, John Davis Craddock, Richard Foedinger
  • Patent number: 8900344
    Abstract: Hydrogen selective coatings, coated articles and methods for their formation and for hydrogen separation or purification. The coatings are formed by atomic layer deposition of suitable metal oxides with desirable hydrogen activation energy or hydrogen flux, e.g., silicon dioxide, and can be borne on a nonporous, thin-film metal or cermet substrate, e.g., a palladium sheet or layer. The coated substrate may include a porous support for the sheet or layer. The coated article may be used as a purification membrane and the coating can protect the metal layer from contaminants in the gas or process stream from which hydrogen is being purified. In some embodiments, the coated article can provide such protection at elevated temperatures in excess of 300° C.; and in other embodiments, can provide protection at temperatures in excess of 600° C. and even in excess of 800° C.
    Type: Grant
    Filed: March 21, 2011
    Date of Patent: December 2, 2014
    Assignee: T3 Scientific LLC
    Inventors: Chung Yi A. Tsai, Siu-Yue Tam