Coating By Vapor, Gas, Or Smoke Patents (Class 427/248.1)
  • Publication number: 20140094356
    Abstract: A treatment process, an oxide-forming treatment composition, and a treated component are disclosed. The treatment process includes applying an oxide-forming treatment composition to a ceramic coating and heating the oxide-forming treatment composition to form an oxide within the ceramic coating. The oxide-forming treatment composition includes a solute and a corrosion inhibitor. The oxide-forming treatment composition is super-saturated with the corrosion inhibitor. The treated component includes a ceramic coating and one or both of a corrosion inhibitor and an oxide formed by an oxide-forming treatment composition having the corrosion inhibitor. The corrosion inhibitor and the oxide-forming treatment composition are within the ceramic coating.
    Type: Application
    Filed: September 28, 2012
    Publication date: April 3, 2014
    Applicant: GENERAL ELECTRIC COMPANY
    Inventors: Yuk-Chiu LAU, Vinod Kumar PAREEK, Joshua L. MARGOLIES, Raymond Grant ROWE
  • Patent number: 8685494
    Abstract: A method of forming a metal thin film can reduce leakage current while improving electric properties by improving step coverage of a device. The method of forming a metal thin film includes supplying a metal precursor including chlorine, purging byproducts produced after the supplying of the metal precursor by injecting a purge gas, supplying a reactant to allow the reactant and the metal precursor to react with each other to form a thin film layer, and purging the byproducts produced after the reaction by injecting a purge gas, wherein before the supplying of the metal precursor, the method further includes supplying a reactant to be adsorbed on a treated product.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: April 1, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Soon Lim, Jae-Hyoung Choi, Youn-Soo Kim, Min-Young Park, Sang-Yeol Kang
  • Patent number: 8685500
    Abstract: The invention relates to a method for depositing one or more thin layers. In said method, a process gas forming a polymer streams into a deposition chamber (8) along with a carrier gas by means of a gas inlet element (3) in order to deposit a thin layer, in particular in the form of a polymer, on the surface (7?) of a substrate (7) which lies on a supporting surface (4?) of a susceptor, said supporting surface (4?) lying opposite the gas inlet element (3), at a distance therefrom. In order to allow the coating process to be carried out at substrate temperatures that only slightly exceed the temperature of the supporting surface of the susceptor, the gas inlet element (3) and/or the supporting surface (4?) are/is temperature-controlled in such a way that the temperature (TS) of the supporting surface (4?) is lower than the temperature (TG) of the gas inlet element (3).
    Type: Grant
    Filed: May 14, 2009
    Date of Patent: April 1, 2014
    Assignee: Aixtron AG
    Inventor: Markus Gersdorff
  • Publication number: 20140087074
    Abstract: A film formation jig is configured to be used in a film formation process to a device constituted of members having heat-resistant temperatures different from each other, in which a film is formed on a film formation target member of the device having a heat-resistant temperature higher than a heat-resistant temperature of a non-film formation portion of the device. The film formation jig includes: a retaining member configured to retain the device, the retaining member having a part which is configured to come into contact with a surface of the film formation target member of the device and is shaped in accordance with the surface of the film formation target member; and a mask member configured to mask the non-film formation portion of the device. The retaining member and the mask member have projections disposed on parts configured to come into contact with the non-film formation portion of the device.
    Type: Application
    Filed: September 25, 2013
    Publication date: March 27, 2014
    Applicant: FUJIFILM CORPORATION
    Inventor: Yasutoshi HIRABAYASHI
  • Publication number: 20140087073
    Abstract: In various exemplary embodiments, a system and related method for processing substrates is provided. In one embodiment, a substrate processing system is provided that includes a substrate load module, a plurality of facilities modules, a plurality of process chambers, a substrate transfer module, at least one transfer gate to provide a contamination barrier between various ones of adjacent modules, and at least one gas impermeable shell to provide a controlled atmosphere within the substrate processing system.
    Type: Application
    Filed: September 24, 2013
    Publication date: March 27, 2014
    Inventors: Igor Constantin Ivanov, Robin Cheung
  • Publication number: 20140087072
    Abstract: A deposition system includes a system housing having a housing interior, a fixture transfer assembly having a generally sloped fixture transfer rail extending through the housing interior, a plurality of processing chambers connected by the fixture transfer rail, a controller interfacing with the processing chambers and at least one fixture carrier assembly carried by the fixture transfer rail and adapted to contain one substrate. The fixture carrier assembly travels along the fixture transfer rail under influence of gravity. A deposition method is also disclosed.
    Type: Application
    Filed: October 16, 2012
    Publication date: March 27, 2014
    Applicant: QUANTUM INNOVATIONS, INC.
    Inventors: NORMAN L. KESTER, CLIFF J. LEIDECKER
  • Publication number: 20140087075
    Abstract: A method and apparatus for improving coating of a substrate.
    Type: Application
    Filed: November 26, 2013
    Publication date: March 27, 2014
    Applicant: FIRST SOLAR, INC.
    Inventor: Michael G. Maltby
  • Publication number: 20140087090
    Abstract: A method for manufacturing a pattern structure includes the steps of forming a lift-off material on a base by an inkjet technique, forming a functional film on the base and the lift-off material by atomic layer deposition, and removing the lift-off material by a lift-off technique so as to form a pattern on the base from the functional film.
    Type: Application
    Filed: May 16, 2012
    Publication date: March 27, 2014
    Inventors: Takashi Miyagawa, Tetsuya Murakami, Kimiyasu Okamoto
  • Patent number: 8679369
    Abstract: Disclosed is a method for prediction of a film material such as a raw material for organic EL. In the method, a film material having an evaporation rate (V(%)) represented by the formula below can be predicted based on the values of the constant (Ko) and the activation energy (Ea). V=(Ko/P)×e?Ea/kT wherein Ko represents a constant (%·Torr), P represents a pressure (Torr), Ea represents an activation energy (eV), k represents a Boltzmann constant, and T represents an absolute temperature.
    Type: Grant
    Filed: July 23, 2012
    Date of Patent: March 25, 2014
    Assignee: Tohoku University
    Inventors: Tadahiro Ohmi, Shozo Nakayama, Hironori Ito
  • Patent number: 8673393
    Abstract: Methods are provided for vapor deposition coating of hydrophobic materials and applications thereof. The method for making a hydrophobic material includes providing a natural mineral, providing a silicone-based material, heating the silicone-based material to release vaporous molecules of the silicone-based material, and depositing the vaporous molecules of the silicone-based material to form a layer of the silicone-based material on surfaces of the natural mineral.
    Type: Grant
    Filed: June 8, 2009
    Date of Patent: March 18, 2014
    Assignee: InnovaNano, Inc.
    Inventors: Jikang Yuan, He Dong
  • Patent number: 8673436
    Abstract: The present invention relates to nanostructured material capable of storing hydrogen. The nanostructured material may be configured with a selected geometry to provide the capability to influence and increase the limiting or theoretical gravimetric storage level (GSL) of hydrogen for a given chemical composition.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: March 18, 2014
    Assignee: Southwest Research Institute
    Inventors: Michael A. Miller, Kent Edward Coulter, James H. Arps
  • Patent number: 8673389
    Abstract: A method and apparatus for controlling a vapor deposition based coating process, including monitoring ultrafine particles, and adjusting at least one process parameter based on the monitoring. During at least one stage of the coating deposition process, at least one of the coating precursors includes a gas, a vapor, or an aerosol.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: March 18, 2014
    Assignee: Beneq Oy
    Inventors: Markku Rajala, Juha Tikkanen
  • Patent number: 8673395
    Abstract: A disclosed film deposition apparatus includes a turntable including a substrate receiving area; a first reaction gas supplier for supplying a first reaction gas to a surface of the turntable having the substrate receiving area; a second reaction gas supplier, arranged away from the first reaction gas supplier along a circumferential direction of the turntable, for supplying a second reaction gas to the surface; a separation area located along the circumferential direction between a first process area of the first reaction gas and a second process area of the second reaction gas; a separation gas supplier for supplying a first separation gas to both sides of the separation area; a first heating unit for heating the first separation gas to the separation gas supplier; an evacuation opening for evacuating the gases supplied to the turntable; and a driver for rotating the turntable in the circumferential direction.
    Type: Grant
    Filed: January 7, 2013
    Date of Patent: March 18, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Manabu Honma
  • Patent number: 8673394
    Abstract: A method of depositing a material on a substrate comprises placing a substrate into a process space in fluidic communication with a Gaede pump stage (GPS). A precursor gas is then injected into the process space while injecting a draw gas at a draw gas flow rate into the GPS such that the injected precursor gas achieves a precursor pressure and a precursor gas flow rate in the process space. Subsequently, substantially all of the precursor gas remaining in the process space is swept from the process space by injecting a sweep gas into the process space such that the injected sweep gas achieves a sweep pressure and sweep gas flow rate in the process space. The precursor pressure is higher than the sweep pressure, and the precursor gas flow rate is lower than the sweep gas flow rate.
    Type: Grant
    Filed: May 6, 2009
    Date of Patent: March 18, 2014
    Assignee: Sundew Technologies LLC
    Inventor: Ofer Sneh
  • Publication number: 20140072710
    Abstract: A method for treating a piece (1) made of a first porous material (8) with a second material (2) when this second material is in liquid state, said second material (2) being suitable for infiltration when a predetermined temperature range (DTi) and a predetermined field of infiltration pressure (Dpi), comprises the steps of: —providing a crucible (4) suitable for containing the piece (1) and the second material (2) and capable of withstanding the temperatures and pressures for the infiltration of the second material (2) in the piece (1); providing a cover (5) for the crucible (4) suitable for closing the crucible creating a chamber (6) inside the crucible; placing the piece (1) and the second material (2) in said crucible chamber (6), when this second material is not yet in liquid state; —subsequently closing the crucible (4) with the cover (5); —at a pressure (pa or pa1)—unsuitable for the infiltrations of the second material in the first porous material, raising the temperature of the piece (1) and the seco
    Type: Application
    Filed: March 14, 2012
    Publication date: March 13, 2014
    Applicant: Petroceramics S.P.A.
    Inventor: Massimiliano Valle
  • Patent number: 8668956
    Abstract: A vapor deposition particle injection device (30) includes a vapor deposition particle generating section (41), at least one nozzle stage made of an intermediate nozzle section (51), a vapor deposition particle emitting nozzle section (61), and heat exchangers (43, 63, 53). The vapor deposition particle emitting nozzle section (61) is controlled so as to be at a temperature lower than a temperature at which a vapor deposition material turns into gas. Meanwhile, the intermediate nozzle section (51) is controlled by the heat exchanger (53) so as to be at a temperature between a temperature of the vapor deposition particle generating section (41) and a temperature of the vapor deposition particle emitting nozzle section (61).
    Type: Grant
    Filed: March 7, 2012
    Date of Patent: March 11, 2014
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Satoshi Inoue, Shinichi Kawato, Tohru Sonoda, Satoshi Hashimoto
  • Publication number: 20140063854
    Abstract: Provided is an apparatus for manufacturing a light guiding plate. The apparatus for manufacturing a light guiding plate includes an unwinding unit unwinding a film formed of a flexible material and wound in a roll shape, a winding unit winding the film provided from the unwinding unit in a roll shape, a surface treatment unit disposed between the unwinding unit and the winding unit to treat a surface of the film transferred into the winding part into a hydrophobic surface, a pattern formation unit disposed between the surface treatment unit and the winding unit to form a micro lens pattern on the surface of the film of which the surface is treated, and a pattern curing unit disposed between the pattern formation unit and the winding unit to cure the pattern.
    Type: Application
    Filed: September 3, 2013
    Publication date: March 6, 2014
    Inventors: Kyoung Soo Park, Sung Jae Lee
  • Patent number: 8663753
    Abstract: An epitaxial reactor enabling simultaneous deposition of thin films on a multiplicity of wafers is disclosed. During deposition, a number of wafers are contained within a wafer sleeve comprising a number of wafer carrier plates spaced closely apart to minimize the process volume. Process gases flow preferentially into the interior volume of the wafer sleeve, which is heated by one or more lamp modules. Purge gases flow outside the wafer sleeve within a reactor chamber to minimize wall deposition. In addition, sequencing of the illumination of the individual lamps in the lamp module may further improve the linearity of variation in deposition rates within the wafer sleeve. To improve uniformity, the direction of process gas flow may be varied in a cross-flow configuration. Combining lamp sequencing with cross-flow processing in a multiple reactor system enables high throughput deposition with good film uniformities and efficient use of process gases.
    Type: Grant
    Filed: October 30, 2012
    Date of Patent: March 4, 2014
    Assignee: Crystal Solar Incorporated
    Inventors: Visweswaren Sivaramakrishnan, Kedarnath Sangam, Tirunelveli S. Ravi, Andrzej Kaszuba, Quoc Vinh
  • Patent number: 8663738
    Abstract: Disclosed herein are an armature for fuel pumps and a manufacturing method thereof, in which a polymer coating film is formed on an armature core such that the armature has corrosion resistance to an alcohol fuel and to a highly corrosive fuel.
    Type: Grant
    Filed: February 9, 2011
    Date of Patent: March 4, 2014
    Assignee: Coavis
    Inventors: Kisang Moon, Seonghwan Moon
  • Patent number: 8663751
    Abstract: A method for applying a hydrophilic coating on a substrate includes: providing a substrate (1), producing an atmospheric pressure plasma discharge in the presence of a gas, at least partially exposing the substrate to the atmospheric pressure plasma discharge. The method introduces a liquid aerosol (6) or a vapor of coating forming material into the atmospheric pressure plasma discharge, thereby forming a coating on the substrate. The coating forming material is a non-polymerizable acetate derivative, and is ethyl acetate in one embodiment.
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: March 4, 2014
    Assignee: Vlaamse Instelling Voor Technologisch Onderzoek N.V. (VITO)
    Inventors: Marjorie Dubreuil, Dirk Vangeneugden
  • Publication number: 20140056453
    Abstract: Embodiments of the present invention provide improved methods and apparatus suitable for use with hearing devices. A vapor deposition process can be used to make a retention structure having a shape profile corresponding to a tissue surface, such as a retention structure having a shape profile corresponding to one or more of an eardrum, the eardrum annulus, or a skin of the ear canal. The retention structure can be resilient and may comprise an anatomically accurate shape profile corresponding to a portion of the ear, such that the resilient retention structure provides mechanical stability for an output transducer assembly placed in the ear for an extended time. The output transducer may couple to the eardrum with direct mechanical coupling or acoustic coupling when retained in the ear canal with the retention structure.
    Type: Application
    Filed: June 17, 2013
    Publication date: February 27, 2014
    Inventors: Jake L. OLSEN, David CHAZAN, Jonathan P. FAY, Micha ROSEN, Sunil PURIA
  • Patent number: 8656936
    Abstract: Apparatuses, and related methods, for processing a workpiece that include a particular barrier structure that can overlie and cover a workpiece. Apparatuses, and related methods, for processing a workpiece that include a particular movable member that can be positioned over and moved relative to a workpiece. Apparatuses, and related methods, for processing a workpiece that include a particular ceiling structure that can overlie a processing chamber. Nozzle devices, and related methods, that include a particular annular body. Nozzle devices, and related methods, that include a particular first, second, and third nozzle structure.
    Type: Grant
    Filed: July 9, 2008
    Date of Patent: February 25, 2014
    Assignee: Tel FSI, Inc.
    Inventors: Jimmy D. Collins, Samuel A. Cooper, James M. Eppes, Alan D. Rose, Kader Mekias
  • Patent number: 8658246
    Abstract: A seed substrate is placed to face a formation substrate, and then a gas containing silicon is introduced and chemical vapor deposition is performed. There is no particular limitation on a kind of a material used for the formation substrate as long as the material can withstand the temperature at which the reduced pressure chemical vapor deposition is performed. A group of silicon whiskers which does not include a seed atom can be grown directly on and in contact with the formation substrate. Further, the substrate provided with the group of whiskers can be applied to a solar cell, a lithium ion secondary battery, and the like, by utilizing surface characteristics of the group of whiskers.
    Type: Grant
    Filed: September 29, 2011
    Date of Patent: February 25, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Toshihiko Takeuchi, Makoto Ishikawa, Yuki Murakami
  • Patent number: 8658249
    Abstract: The present invention provides a process for the deposition of a iridium containing film on a substrate, the process comprising the steps of providing at least one substrate in a reactor; introducing into the reactor at least one iridium containing precursor having the formula: XIrYA, wherein A is equal to 1 or 2 and i) when A is 1, X is a dienyl ligand and Y is a diene ligand; ii) when A is 2, a) X is a dienyl ligand and Y is selected from CO and an ethylene ligand, b) X is a ligand selected from H, alkyl, alkylamides, alkoxides, alkylsilyls, alkylsilylamides, alkylamino, and fluoroalkyl and each Y is a diene ligand, and c) X is a dienyl ligand and Y is a diene ligand; reacting the at least one iridium containing precursor in the reactor at a temperature equal to or greater than 100° C.; and depositing an iridium containing film formed from the reaction of the at least one iridium containing precursor onto the at least one substrate.
    Type: Grant
    Filed: October 4, 2012
    Date of Patent: February 25, 2014
    Assignees: L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude, American Air Liquide, Inc.
    Inventors: Julien Gatineau, Christian Dussarrat
  • Publication number: 20140050850
    Abstract: A vacuum apparatus (100) includes: a vacuum chamber (11); a heat source (12) disposed inside the vacuum chamber (11); a cooling device (20) that cools the heat source (12) by circulation of a cooling gas; a gas feed line (1) connected to the cooling device (20) and extending outside the vacuum chamber (11); a cooling gas feeder (14) that feeds the cooling gas to the cooling device (20) through the gas feed line (1) when the heat source (12) is to be cooled; and a vacuum pump (13) that evacuates the cooling device (20) when the heat source (12) is to be used.
    Type: Application
    Filed: September 27, 2012
    Publication date: February 20, 2014
    Inventors: Kazuyoshi Honda, Sadayuki Okazaki, Kunihiko Bessho, Takashi Shimada
  • Publication number: 20140050931
    Abstract: A thermoplastic composition is described that can be directly coated with a metal according to a metallization process with no intervening base coat formation process necessary. The thermoplastic composition includes a polyarylene sulfide and a filler having a selectively controlled average length and aspect ratio. Molded articles formed of the thermoplastic composition can have an ultra-smooth surface so as to directly accept a metallization coating.
    Type: Application
    Filed: August 14, 2013
    Publication date: February 20, 2014
    Applicant: Ticona LLC
    Inventor: Ke Feng
  • Publication number: 20140050848
    Abstract: The present disclosure is related to an aluminum-containing precursor composition, especially a precursor composition which is vaporized to be used for vapor phase deposition processes such as chemical vapor deposition (CVD) or atomic layer deposition (ALD).
    Type: Application
    Filed: August 14, 2013
    Publication date: February 20, 2014
    Inventors: Wonyong Koh, Won Seok Han, Myeong-Ho Park
  • Publication number: 20140049923
    Abstract: A method of preparing a surface for deposition of a thin film thereon, wherein the surface including a plurality of protrusions extending therefrom and having shadowed regions, includes locally treating at least one of the protrusions.
    Type: Application
    Filed: August 20, 2012
    Publication date: February 20, 2014
    Applicant: UNIVERSAL DISPLAY CORPORATION
    Inventors: Ruiqing Ma, Chuanjun Xia, Prashant Mandlik
  • Publication number: 20140050847
    Abstract: Provided are a deposition method of patterning a thin film on a substrate using momentary Joule heating in a vacuum environment, and a method thereof. The deposition device forms a deposition target layer on one surface of a source substrate as a pattern to be deposited. A deposition target layer forming unit forms a deposition target layer on the one surface of the source substrate to cover the conductive layer. A chamber in a vacuum state receives the source substrate on which the conductive layer and the deposition target layer are formed and the target substrate. A target substrate is disposed in the chamber to face the source substrate. A power supply applies power to the conductive layer to heat-generate the conductive layer. A configuration of the deposition device is very simple, and it is easy to uniformly form a deposition thickness.
    Type: Application
    Filed: August 20, 2012
    Publication date: February 20, 2014
    Applicant: EnSilTech Corporation
    Inventors: Jae-Sang RO, Won-Eui Hong, Seog-Young Lee, Ingoo Jang
  • Publication number: 20140050849
    Abstract: Provided are methods of catalytic atomic layer deposition using pyridine-based catalysts. Certain methods comprising activating a reaction between at least two film precursors and certain other methods of catalytic deposition of SiO2, both of which comprise using a pyridine-based catalyst.
    Type: Application
    Filed: August 15, 2013
    Publication date: February 20, 2014
    Inventor: David Thompson
  • Patent number: 8652573
    Abstract: Method of depositing a film having a substantially uniform thickness by means of chemical vapor deposition, comprising: providing a reaction chamber; providing a substrate in said reaction chamber; subjecting the substrate to a series of deposition cycles, wherein each deposition cycle includes the steps of: (a) during a first time interval, supplying a first reactant to the reaction chamber; (b) during a second time interval, supplying a second reactant to the reaction chamber; and (c) during a third time interval, supplying neither the first nor the second reactant to the reaction chamber; wherein a start of the second time interval lies within the first time interval, such that a pre-exposure interval exists between a start of the first time interval and the start of the second time interval, during which pre-exposure interval the first reactant is supplied to the reaction chamber while the second reactant is not.
    Type: Grant
    Filed: July 14, 2011
    Date of Patent: February 18, 2014
    Assignee: ASM International N.V.
    Inventors: Maarten Stokhof, Hessel Sprey, Tatsuya Yoshimi, Bert Jongbloed, Noureddine Adjeroud
  • Publication number: 20140045562
    Abstract: A chopper knife for an agricultural machine has a plate-shaped blade having a front region and a rear region. The blade is confined in transversal direction by longitudinal edges. The front region comprises at least one elongate cutting edge provided with a coating extending along a surface of the blade over a width perpendicular to a longitudinal edge. The width of the coating decreases in a direction from the front region towards the rear region of the blade.
    Type: Application
    Filed: March 27, 2012
    Publication date: February 13, 2014
    Applicant: CNH AMERICA LLC
    Inventors: Dariusz Adamczyk, Stanislaw Kudla
  • Publication number: 20140044977
    Abstract: A method for coating a substrate with a coating having a controlled morphology is disclosed, the method comprising providing a substrate, depositing a nucleating layer on a surface of the substrate using an aerosol assisted deposition method and depositing at least one further layer by chemical vapour deposition. The nucleating layer and further layer preferably comprise tin oxide. The substrate is preferably glass. The method results in high transmittance and a low diffuse transmission across the visible and infrared region.
    Type: Application
    Filed: April 17, 2012
    Publication date: February 13, 2014
    Applicants: UNIVERSITY COLLEGE LONDON, PILKINGTON GROUP LIMITED
    Inventors: Troy Manning, Ivan Paul Parkin, Mathew Robert Waugh
  • Publication number: 20140044877
    Abstract: This disclosure relates to phosphate coatings that inhibit corrosion of metals, specifically coatings comprising acidic phosphate and alkaline metal oxide/hydroxide components. In one particular embodiment, phosphate-based coating formulations that reduce or eliminate corrosion of steel and other metals are disclosed. In other embodiments, methods for coating steel surfaces with acidic phosphate and alkaline metal oxide/hydroxide components to reduce or eliminate corrosion of the metal surfaces are disclosed.
    Type: Application
    Filed: October 15, 2013
    Publication date: February 13, 2014
    Applicant: LATITUDE 18, INC.
    Inventors: Arun S. Wagh, Vadym Drozd
  • Publication number: 20140044549
    Abstract: Disclosed is a blade element of a turbomachine, in particular of a gas turbine, which comprises a fastening element (10) with which the blade element is arranged in a receptacle (11) of the turbomachine. In the region of the fastening element, the blade element has a core region (18) and an envelope region (19) which at least partially envelops the core region. The core region is formed from a blade base material which is more brittle than the envelope material of the envelope region, and the envelope region is formed by a coating. The envelope material is a blade base material which has been modified to achieve a higher ductility or is a pseudoelastic or superelastic material.
    Type: Application
    Filed: August 9, 2013
    Publication date: February 13, 2014
    Applicant: MTU AERO ENGINES AG
    Inventors: Erich Steinhardt, Wilfried Smarsly, André Werner
  • Patent number: 8647723
    Abstract: A method to achieve a conformal ultrathin film of platinum or one of its alloys on a substrate that can be economically used as a heterogeneous catalyst, such as automotive polymer electrolyte membrane (PEM) fuel cell catalyst. The method includes using a hydrogen plasma in platinum atomic layer deposition along with tungsten as a substrate or anchoring adhesive layer to assist platinum nucleation and deposition.
    Type: Grant
    Filed: September 28, 2011
    Date of Patent: February 11, 2014
    Assignee: GM Global Technology Operations LLC
    Inventors: Anusorn Kongkanand, Frederick T. Wagner, Steven M. George, Layton Baker
  • Patent number: 8647714
    Abstract: In a nickel film forming method, an initial Ni film is formed on a substrate by a chemical vapor deposition (CVD) process by using a nickel-containing compound having a molecular structure in which a ligand containing a nitrogen-carbon bond is included and nitrogen of the ligand coordinates with nickel as a film forming source material and at least one selected from ammonia, hydrazine, and derivatives thereof as a reduction gas. Further, a main Ni film is formed on the initial Ni film by CVD by using the nickel-containing compound as the film forming source material and hydrogen gas as the reduction gas.
    Type: Grant
    Filed: August 30, 2012
    Date of Patent: February 11, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Hideki Yuasa
  • Publication number: 20140037983
    Abstract: Substantially defect-free titanium aluminide components and methods are provided for manufacturing the same from articles formed by consolidation processes. The method includes providing an intermediate article comprised of a titanium aluminide alloy and formed by a consolidation process. The intermediate article is encapsulated with an aluminum-containing encapsulation layer. The intermediate article is compacted after the encapsulation step. A substantially defect-free titanium aluminide component comprises a compacted three-dimensional article comprised of titanium aluminide and formed by a consolidation process and an aluminum-containing encapsulation layer on at least one surface of the compacted three-dimensional article. The aluminum-containing encapsulation layer comprises an aluminide material, MCrAlY wherein M is cobalt, nickel, or a combination of cobalt and nickel, or TiAlCr.
    Type: Application
    Filed: August 1, 2012
    Publication date: February 6, 2014
    Applicant: HONEYWELL INTERNATIONAL INC.
    Inventors: Donald G. Godfrey, Mark C. Morris, George Reimer, William F. Hehmann, Daira Legzdina, Richard Fox, Yiping Hu, Harry Lester Kington
  • Patent number: 8642124
    Abstract: A gas dispersion shield and method for protecting the bottom surface of a gas deposition chamber, while injecting gas from a gas insertion channel into the chamber at a non-vertical angle. The gas dispersion shield includes a cylindrically shaped vertical sidewall, an annular flange extending horizontally and outwardly from the sidewall upper end, and a horizontal wall that extends inwardly from the sidewall lower end. The flange includes a top surface, a bottom surface, and a plurality of holes formed through the flange each extending in a non-vertical direction from the bottom surface to the top surface. The bottom surface includes an annular protrusion that fits at least partially into the gas insertion channel, to ensure the gas flows through the non-vertical holes instead of escaping around the flange.
    Type: Grant
    Filed: March 4, 2010
    Date of Patent: February 4, 2014
    Assignee: Pentagon Technologies Group, Inc.
    Inventor: Randall Clark
  • Patent number: 8642797
    Abstract: Volatile metal amidate metal complexes are exemplified by bis(N-(tert-butyl)ethylamidate)bis(ethylmethylamido) titanium; (N-(tert-butyl)(tert-butyl)amidate)tris(ethylmethylamido) titanium; bis(N-(tert-butyl)(tert-butyl)amidate)bis(dimethylamido) titanium and (N-(tert-butyl)(tert-butyl)amidate)tris(dimethylamido) titanium. The term “volatile” refers to any precursor of this invention having vapor pressure above 0.5 torr at temperature less than 200° C. Metal-containing film depositions using these metal amidate ligands are also described.
    Type: Grant
    Filed: February 18, 2011
    Date of Patent: February 4, 2014
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Sergei Vladimirovich Ivanov, Wade Hampton Bailey, III, Xinjian Lei, Daniel P. Spence
  • Patent number: 8642125
    Abstract: A method and apparatus for depositing a film on a substrate includes introducing a material and a carrier gas into a heated chamber. The material may be a semiconductor material, such as a cadmium chalcogenide. A resulting mixture of vapor and carrier gas containing no unvaporized material is provided. The mixture of vapor and carrier gas are remixed to achieve a uniform vapor/carrier gas composition, which is directed toward a surface of a substrate, such as a glass substrate, where the vapor is deposited as a uniform film.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: February 4, 2014
    Assignee: First Solar, Inc
    Inventors: Ricky Charles Powell, Andrew Kelly Gray, Todd Alden Coleman
  • Patent number: 8640435
    Abstract: Fabrication of fibers using links of nanotubes including slicing a first nanotube rope from a nanotube forest. The method further includes wrapping the first nanotube rope in a first plurality of circuitous turns to create a first link. The method further includes slicing a second nanotube rope from the nanotube forest. The method further includes wrapping the second nanotube rope in a second plurality of circuitous turns to create a second link, wherein the second link is interconnected to the first link in a chain.
    Type: Grant
    Filed: February 12, 2013
    Date of Patent: February 4, 2014
    Assignee: The Boeing Company
    Inventor: John R. Hull
  • Publication number: 20140030532
    Abstract: Provided is a technique for electroless deposition (ELD) for forming metal conductive layer on an insulating substrate made of glass, polymer, etc. According to an aspect, an adhesive layer and a catalyst layer are formed on a substrate using a dry deposition method, such as are plasma deposition (APD) or sputtering, etc., and electroless deposition is performed thereon, thereby forming a metal thin, film. Therefore, it is possible to significantly simplify a complicated pretreatment process required for electroless depositions and increase adhesive strength of a deposited metal thin film.
    Type: Application
    Filed: October 30, 2012
    Publication date: January 30, 2014
    Applicant: KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY
    Inventors: Ji Young BYUN, Sang Hoon KIM, Ju Yeon HWANG, Heon Phil HA
  • Publication number: 20140027664
    Abstract: Ternary tungsten boride nitride (WBN) thin films and related methods of formation are provided. The films are have excellent thermal stability, tunable resistivity and good adhesion to oxides. Methods of forming the films can involve thermal atomic layer deposition (ALD) processes in which boron-containing, nitrogen-containing and tungsten-containing reactants are sequentially pulsed into a reaction chamber to deposit the WBN films. In some embodiments, the processes include multiple cycles of boron-containing, nitrogen-containing and tungsten-containing reactant pulses, with each cycle including multiple boron-containing pulses.
    Type: Application
    Filed: July 23, 2013
    Publication date: January 30, 2014
    Inventors: Wei Lei, Juwen Gao
  • Publication number: 20140030433
    Abstract: Methods and apparatus for delivering process gases to a substrate are provided herein. In some embodiments, an apparatus for processing a substrate may include a gas distribution conduit disposed in a processing volume of a process chamber above a substrate support to distribute a process gas to a processing surface of the substrate when disposed on the substrate support; and an actuator coupled to the gas distribution conduit to move the gas distribution conduit with respect to the substrate support. In some embodiments, a method of processing a substrate may include introducing a process gas to a process chamber through a gas distribution conduit disposed above a substrate having a processing surface; and moving the gas distribution conduit within the process chamber and with respect to the substrate to distribute the process gas across the processing surface of the substrate.
    Type: Application
    Filed: July 11, 2013
    Publication date: January 30, 2014
    Inventor: JOSEPH M. RANISH
  • Publication number: 20140030448
    Abstract: Disclosed herein are non-oxygen containing silicon-based films, and methods for forming the same. The non-oxygen silicon-based films contain >50 atomic % of silicon. In one aspect, the silicon-based films have a composition SixCyNz wherein x is about 51 to 100, y is 0 to 49, and z is 0 to 50 atomic weight (wt.) percent (%) as measured by XPS. In one embodiment, the non-oxygen silicon-based films were deposited using at least one organosilicon precursor having at least two SiH3 groups with at least one C2-3 linkage between silicon atoms such as 1,4-disilabutane.
    Type: Application
    Filed: July 24, 2013
    Publication date: January 30, 2014
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Heather Regina Bowen, Jianheng Li, Mark Leonard O'Neill, Manchao Xiao, Andrew David Johnson, Xinjian Lei
  • Patent number: 8637117
    Abstract: Systems and methods for ALD thin film deposition include a mechanism for removing excess non-chemisorbed precursors from the surface of a substrate in a translation-based process involving multiple separate precursor zones. Excess precursor removal mechanisms according to the present disclosure may introduce localized high temperature conditions, high energy conditions, or azeotropes of the excess precursor, to liberate the excess precursor before it reaches a separate precursor zone, thereby inhibiting CVD deposition from occurring without causing heat-induced degradation of the substrate.
    Type: Grant
    Filed: October 14, 2010
    Date of Patent: January 28, 2014
    Assignee: Lotus Applied Technology, LLC
    Inventors: Eric R. Dickey, William A. Barrow
  • Patent number: 8633329
    Abstract: Disclosed are titanium-containing precursors and methods of synthesizing the same. The compounds may be used to deposit titanium, titanium oxide, strontium-titanium oxide, and barium strontium titanate containing layers using vapor deposition methods such as chemical vapor deposition or atomic layer deposition.
    Type: Grant
    Filed: February 21, 2013
    Date of Patent: January 21, 2014
    Assignee: American Air Liquide, Inc.
    Inventors: Venkateswara R. Pallem, Christian Dussarrat
  • Patent number: 8632855
    Abstract: Methods of preparing a carbon-based sheet are provided, the methods include aligning carbon-containing materials on a substrate and forming the carbon-based sheet on the substrate by performing an annealing process on the substrate including the carbon-containing materials. The carbon-based sheet may be a graphene sheet.
    Type: Grant
    Filed: February 17, 2010
    Date of Patent: January 21, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Xianyu Wenxu, Dong-joon Ma, Jung-hyun Lee
  • Patent number: 8628622
    Abstract: A gas driven apparatus and method that can be useful for growing crystalline materials are provided. The gas driven rotation apparatus can include one or more rotatable substrate support members, each of which can be configured to support at least one substrate having a growth surface oriented in a downwardly facing position. The gas driven rotation apparatus can further include one or more drive gas channels adapted to direct the flow of a drive gas to rotate the substrate support member. One or more substrates can be positioned in the apparatus so that the growth surface of each substrate is downwardly oriented. A drive gas can flow through the drive gas channel to rotate the substrate. During rotation, reactant gases can be introduced to contact the downwardly facing growth surface, and epitaxial layers of a crystalline material can thereby be grown in a downward direction.
    Type: Grant
    Filed: September 12, 2005
    Date of Patent: January 14, 2014
    Assignee: Cree, Inc.
    Inventor: Adam William Saxler