Coating By Vapor, Gas, Or Smoke Patents (Class 427/248.1)
  • Patent number: 8900662
    Abstract: A thin film depositing apparatus and a thin film depositing method used by the thin film depositing apparatus. The thin film depositing apparatus includes a deposition chamber through which a process gas outlet of a deposition source is arranged; a transfer shuttle disposed in the deposition chamber, the transfer shuttle comprising a mounting plate for loading a substrate, the transfer shuttle being reciprocal with respect to the process gas outlet; and at least one bendable auxiliary plate installed at one side of the transfer shuttle, the bendable auxiliary plate closing the process gas outlet when opposite the process gas outlet, the bendable auxiliary plate comprising a folding member for placing the bendable auxiliary plate in each of an unbent state and bent state dependent upon the position of the transfer shuttle.
    Type: Grant
    Filed: August 10, 2012
    Date of Patent: December 2, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Sung-Yong Lee, Myung-Soo Huh, Choel-Min Jang, Cheol-Rae Jo, In-Kyo Kim, Yong-Suk Lee, Mi-Ra An, Sang-Joon Seo
  • Publication number: 20140349011
    Abstract: A process apparatus for treatment of a substrate comprising a load chamber for loading the substrate, a process chamber for processing the substrate, a sealing plane separating the process chamber from the load chamber and means for vertically moving the substrate from the load chamber to the process chamber, and a method for treating the substrate are provided. The load chamber is located in one of the lower and upper portions of the process apparatus, and the process chamber is located in the other of the lower and upper portions of the process apparatus. The process apparatus and method of the present invention will provide easy maintenance and reduced costs by reducing the number of movements for loading the substrate.
    Type: Application
    Filed: August 13, 2014
    Publication date: November 27, 2014
    Inventor: Jurgen Weichart
  • Patent number: 8895100
    Abstract: A method for manufacturing a compound film comprising a substrate and at least one additional layer is disclosed. The method comprising the steps of depositing at least two chemical elements on the substrate and/or on the at least one additional layer using depositions sources, maintaining depositing of the at least two chemical elements while the substrate and the deposition sources are being moved relative to each other, measuring the compound film properties, particularly being compound film thickness, compound-film overall composition, and compound-film composition in one or several positions of the compound film, comparing the predefined values for the compound film properties to the measured compound film properties, and adjusting the deposition of the at least two chemical elements in case the measured compound film properties do not match the predefined compound film properties.
    Type: Grant
    Filed: September 12, 2007
    Date of Patent: November 25, 2014
    Assignee: FLISOM AG
    Inventors: Dominik Rudmann, Marc Kaelin, Thomas Studer, Felix Budde
  • Patent number: 8895106
    Abstract: The present disclosure concerns a process suitable for coating discrete articles with a zinc-rich, fully alloyed layer. A known method for the corrosion-protection of such articles comprises the steps of hot-dip galvannealing, typically followed by painting. This hot-dip process has however to be performed at a high temperature, thereby submitting the articles to severe thermal stress. A novel vacuum deposition process of Zn is therefore presented. It is characterized in that, in the step of contacting the article with metallic Zn vapor, the temperature of the article is equal to or higher than the dew point of the Zn vapor. The process results in a coating having a uniform thickness, even on less accessible surfaces. The surface roughness is well adapted for the adhesion of paint.
    Type: Grant
    Filed: February 4, 2010
    Date of Patent: November 25, 2014
    Assignee: Umicore
    Inventors: Bruno Gay, Etienne Petit
  • Patent number: 8895116
    Abstract: The crystalline semiconductor film is formed following steps that supplying a film formation gas to a second gas diffusion area from a gas introduction port provided in an upper electrode; supplying the film formation gas to a first gas diffusion area from the second gas diffusion area through holes provided in a dispersion plate between the first gas diffusion area and the second gas diffusion area; supplying the film formation gas into a treatment room from the first gas diffusion area through holes in a shower plate between the first gas diffusion area and the treatment room; generating glow discharge plasma by supplying high frequency electricity from an electrode surface of the upper electrode; generating crystal nuclei on a substrate provided over a lower electrode facing the upper electrode; and growing the crystal nuclei. A portion of the dispersion plate which faces the gas introduction port has no hole.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: November 25, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Tetsuhiro Tanaka
  • Patent number: 8889226
    Abstract: A method of bonding a metal to a substrate is disclosed herein. The method involves forming a nano-brush on a surface of the substrate, where the nano-brush includes a plurality of nano-wires extending above the substrate surface. In a molten state, the metal is introduced onto the substrate surface, and the metal surrounds the nano-wires. Upon cooling, the metal surrounding the nano-wires solidifies, and during the solidifying, at least a mechanical interlock is formed between the metal and the substrate.
    Type: Grant
    Filed: December 2, 2011
    Date of Patent: November 18, 2014
    Assignee: GM Global Technology Operations LLC
    Inventors: Michael J. Walker, Bob R. Powell, Jr.
  • Patent number: 8889223
    Abstract: A physical vapor deposition apparatus and a physical vapor deposition method for forming a film of a substance which is hard to be made fine particles even when it is heated by plasma, arc discharge, or the like are provided. It has an evaporation chamber 10 provided inside it with an evaporation source material 15 and a heating part 16 for heating the evaporation source material 15, a powder supply source 20 provided inside it with a powder, and a film forming chamber 30, wherein the evaporation source material 15 is heated by the heating part 16 to produce fine particles (nanoparticles), the fine particles and powder are sprayed out of a supersonic nozzle 35, are placed on a supersonic gas stream, and are deposited on a substrate for film formation 33 by physical vapor deposition.
    Type: Grant
    Filed: July 28, 2008
    Date of Patent: November 18, 2014
    Assignee: Tanaka Kikinzoku Kogyo K.K.
    Inventors: Atsushi Yumoto, Naotake Niwa, Fujio Hiroki, Takahisa Yamamoto
  • Patent number: 8889225
    Abstract: Provided is a method for forming a fluorocarbon polymer on a surface of a structure. A feedstock gas is directed through a porous heat member having a temperature sufficient to crack the feedstock gas and produce a reactive species that includes (CF2)n wherein n=1 or 2 radicals in the vicinity of a structure surface on which the fluorocarbon polymer is to be formed. The structure surface is maintained at a temperature lower than that of the porous heat member to induce deposition and polymerization of the (CF2)n wherein n=1 or 2 radicals on the structure surface.
    Type: Grant
    Filed: December 21, 2012
    Date of Patent: November 18, 2014
    Assignee: The Gillette Company
    Inventors: Andrew Vladimirovich Zhuk, Neville Sonnenberg
  • Publication number: 20140335271
    Abstract: A boat according to this disclosure includes side walls and a base that are arranged to define a container with an interior. A bottom of the boat may have a convex configuration. In a specific embodiment, the base may have a convex configuration, such as the shape of a portion of the curved surface of a cylinder, with end walls located at opposite ends of the base. Such a boat may have a crescent configuration. Each boat may be configured to be positioned against another boat, enabling the assembly of groups of boats. Multi-celled structures that receive and effectively increase the surface area of precursor material are also disclosed. A multi-celled structure may be configured for use within the interior of a boat, or individually, without a separate boat.
    Type: Application
    Filed: July 29, 2014
    Publication date: November 13, 2014
    Inventors: James Dempster, Jason Maynard
  • Publication number: 20140335287
    Abstract: Provided is an atomic layer deposition apparatus including: a sealable deposition chamber; a holding portion configured to hold a substrate including a deposition surface in the deposition chamber; a supply mechanism that includes an introduction portion connected to a gas supply source that supplies gas and is configured to supply gas introduced into the introduction portion to the deposition chamber from a position opposing the deposition surface; and an exhaust mechanism that includes an exhaust portion connected to an exhaust mechanism capable of exhausting gas and is configured to exhaust the deposition chamber from a position opposing the deposition surface.
    Type: Application
    Filed: April 29, 2014
    Publication date: November 13, 2014
    Applicant: Sony Corporation
    Inventors: Hiroyuki NAGAI, Tetsuro KUWAYAMA
  • Patent number: 8883259
    Abstract: A thin film deposition apparatus is disclosed. In one embodiment, the apparatus includes i) a deposition source configured to discharge a deposition material, ii) a deposition source nozzle unit disposed at a side of the deposition source and comprising a plurality of deposition source nozzles arranged in a first direction and iii) a patterning slit sheet disposed opposite to the deposition source nozzle unit and comprising a plurality of patterning slits arranged in a second direction substantially perpendicular to the first direction. The patterning slit sheet may include: i) a base sheet, in which a plurality of sub-patterning slits are formed in the second direction and ii) a plurality of patterning bars disposed between adjacent sub-patterning slits to form the patterning slits. Further, the deposition apparatus is configured to perform deposition while the substrate is moved relative to the thin film deposition apparatus in the first direction.
    Type: Grant
    Filed: November 10, 2011
    Date of Patent: November 11, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Eon-Seok Oh, Yong-Joong Choi, Myung-Ki Lee
  • Patent number: 8883258
    Abstract: In the method, silver is protected against tarnishing using an Atomic Layer Deposition method. In the Atomic Layer Deposition method, a thin film coating is formed 5 on the surface of silver by depositing successive molecule layers of the coating material. For example aluminum oxide (Al 2O3) or zirconium oxide may be used as the coating material.
    Type: Grant
    Filed: January 31, 2007
    Date of Patent: November 11, 2014
    Assignee: Beneq Oy
    Inventors: Milja Makela, Pekka Soininen, Sami Sneck
  • Patent number: 8883267
    Abstract: A vapor deposition apparatus and method for efficiently performing a deposition process to form a thin film with improved characteristics on a substrate, and a method of manufacturing an organic light-emitting display apparatus. The vapor deposition apparatus includes a chamber including an exhaust port; a stage disposed in the chamber, and including a mounting surface on which the substrate is to be disposed; an injection portion including at least one injection opening through which a gas is injected in a direction parallel with a surface of the substrate on which the thin film is to be formed; and a plasma generator disposed apart from the substrate to face the substrate.
    Type: Grant
    Filed: March 27, 2012
    Date of Patent: November 11, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Sang-Joon Seo, Myung-Soo Huh, Seung-Hun Kim, Jin-Kwang Kim, Seung-Yong Song
  • Patent number: 8883260
    Abstract: A method and an apparatus for efficiently producing a high-purity CNT assembly of a high specific surface area are provided in which a feedstock gas is contacted to a catalyst in an optimum form for CNT growth. A carbon nanotube producing apparatus of the present invention includes: a synthesis furnace; a gas supply pipe and a gas exhaust pipe in communication with the synthesis furnace; heating means that heats inside of the synthesis furnace to a predetermined temperature; and gas blowing means that blows a feedstock gas into the synthesis furnace after the feedstock gas is supplied through the gas supply pipe. The feedstock gas supplied through the gas supply pipe is supplied into a heating region of the synthesis furnace heated by the heating means, so as to produce a carbon nanotube from a surface of a catalyst layer provided on a base. The feedstock gas is evacuated through the gas exhaust pipe.
    Type: Grant
    Filed: June 17, 2010
    Date of Patent: November 11, 2014
    Assignee: National Institute of Advanced Industrial Science and Technology
    Inventors: Kenji Hata, Satoshi Yasuda, Don N. Futaba, Motoo Yumura
  • Publication number: 20140329025
    Abstract: Apparatus and method for volatilizing a source reagent susceptible to particle generation or presence of particles in the corresponding source reagent vapor, in which such particle generation or presence is suppressed by structural or processing features of the vapor generation system. Such apparatus and method are applicable to liquid and solid source reagents, particularly solid source reagents such as metal halides, e.g., hafnium chloride. The source reagent in one specific implementation is constituted by a porous monolithic bulk form of the source reagent material. The apparatus and method of the invention are usefully employed to provide source reagent vapor for applications such as atomic layer deposition (ALD) and ion implantation.
    Type: Application
    Filed: July 19, 2014
    Publication date: November 6, 2014
    Inventors: John M. Cleary, Jose I. Arno, Bryan C. Hendrix, Donn Naito, Scott Battle, John N. Gregg, Michael J. Wodjenski, Chongying Xu
  • Patent number: 8877290
    Abstract: A method for producing a liquid-ejection head includes forming molds on or above the substrate, the molds being used as mold members for forming the plurality of liquid chambers; forming the flow-passage-forming member by depositing an inorganic material on or above the substrate and the molds by chemical vapor deposition, the flow-passage-forming member having depressed portions each formed in an area between an adjacent pair of the liquid-chamber side walls in which the molds are not formed; forming a water-repellent layer on the orifice plate; forming filling members in the depressed portions by applying a filling material to the flow-passage-forming member having the water-repellent layer formed thereon to fill the depressed portions with the filling material; forming the ejection ports in the flow-passage-forming member; and removing the molds after forming the ejection ports.
    Type: Grant
    Filed: September 30, 2013
    Date of Patent: November 4, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventor: Masaya Uyama
  • Publication number: 20140322444
    Abstract: The present invention provides a process for producing a transparent heat-resistant gas-barrier film capable of exhibiting a good gas-barrier property and maintaining good properties even after heat-treated at a temperature of 250° C. or higher, in a simple manner at low costs without need of a large size facility and a number of steps. The process for producing a transparent heat-resistant gas-barrier film according to the present invention includes the steps of coating a polysilazane-containing solution onto at least one surface of a transparent polyimide film formed of a polyimide containing a specific repeated unit; and calcining the coated solution at a temperature of 180° C. or higher to laminate a silicon oxide layer obtained by the calcination on the transparent polyimide film.
    Type: Application
    Filed: November 8, 2012
    Publication date: October 30, 2014
    Applicant: MITSUBISHI GAS CHEMICAL COMPANY, INC.
    Inventors: Shuya Suenaga, Yasushi Miki
  • Patent number: 8871306
    Abstract: A method to improve corrosion, abrasion, and fire resistant properties of structural components for use in oil, gas, exploration, refining and petrochemical applications is provided. The structural component is suitable for as refinery and/or petrochemical process equipment and piping, include but are not limited to process vessels, transfer lines and process pipes, heat exchangers, cyclones, and distillation columns. The method comprises providing the structural component with a plurality of layers, a corrosion resistant layer in contact with the corrosive petroleum products comprising a material selected from amorphous metals, ceramic materials, or combinations thereof; a structural layer; and an outer layer comprising a fire resistive material. In one embodiment, the structural component is further provided with at least another layer selected from a metal sheeting layer, an adhesive layer, and a containment layer.
    Type: Grant
    Filed: April 16, 2010
    Date of Patent: October 28, 2014
    Assignee: Chevron U.S.A. Inc.
    Inventors: Edwin H. Niccolls, Grzegorz Jan Jusinski
  • Patent number: 8865252
    Abstract: A thin film deposition apparatus that can be easily used to manufacture large-sized display devices on a mass scale and that improves manufacturing yield, and a method of manufacturing an organic light-emitting display device by using the thin film deposition apparatus.
    Type: Grant
    Filed: February 22, 2011
    Date of Patent: October 21, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Yong Sup Choi, Myeng-Woo Nam, Jong-Won Hong, Seok-Rak Chang, Eun-Sun Choi
  • Patent number: 8865259
    Abstract: Disclosed are an inline chemical vapor deposition method and system for fabricating a device. The method includes transporting a web or discrete substrate through a deposition chamber having a plurality of deposition modules. A buffer layer, a window layer and a transparent conductive layer are deposited onto the substrate during passage through a first deposition module, a second deposition module and a third deposition module, respectively. Advantageously, the steps for generating the buffer layer, window layer and transparent conductive layer are performed sequentially in a common vacuum environment of a single deposition chamber and the use of a conventional chemical bath deposition process to deposit the buffer layer is eliminated. The method is suitable for the manufacture of different types of devices including various types of solar cells such as copper indium gallium diselenide solar cells.
    Type: Grant
    Filed: June 9, 2011
    Date of Patent: October 21, 2014
    Assignee: Singulus MOCVD GmbH I.GR.
    Inventors: Piero Sferlazzo, Thomas Michael Lampros
  • Patent number: 8865255
    Abstract: A process for setting the average flow rate within a hollow component is provided. The process includes setting a relatively small wall thickness in a first region with a relatively large flow cross section using a first diffusion coating process and setting a relatively large wall thickness by a second different diffusion process in a second region with a relatively small flow cross section. The use of different diffusion coatings in a component allows the flow of coolant through a component to be set in a controlled manner.
    Type: Grant
    Filed: February 23, 2011
    Date of Patent: October 21, 2014
    Assignee: Siemens Aktiengesellschaft
    Inventors: Fathi Ahmad, Knut Halberstadt, Christian Lerner
  • Patent number: 8865258
    Abstract: The present invention provides a thin film manufacturing method which realizes stable, highly-efficient film formation using a nozzle-type evaporation source while avoiding unnecessary scattering and deposition of a film formation material after the termination of the film formation. Used is a film forming apparatus including: an evaporation chamber 16; a film forming chamber 17 in which a substrate 21 is provided; an evaporation source 19 holding a film formation material 15 and including an opening surface 14; a moving mechanism 35 configured to cause the evaporation source 19 to move; and a conductance variable structure 34.
    Type: Grant
    Filed: June 1, 2011
    Date of Patent: October 21, 2014
    Assignee: Panasonic Corporation
    Inventors: Kazuyoshi Honda, Kunihiko Bessho, Takashi Shimada
  • Publication number: 20140309375
    Abstract: A method of producing a polymer part comprising the following steps: (a) incorporating a hydrophobic small molecule into the polymer before, during or after manufacture of the polymer part, the hydrophobic small molecule and the polymer being essentially inert to one another; and (b) annealing the polymer part to induce migration of the hydrophobic small molecules to a surface of the polymer part to provide that surface with a specified degree of hydrophobicity defined by the combination of the polymer and the hydrophobic small molecule; is described. Polymer parts made according to the method are also described.
    Type: Application
    Filed: April 15, 2014
    Publication date: October 16, 2014
    Applicant: Sony DADC Austria AG
    Inventors: Andrew NAISBY, Werner BALIKA, Johann HOFER, Georg BAUER
  • Patent number: 8858666
    Abstract: A coating for a cutting tool, which includes a plurality of mutually superposed layers, characterized in that the coating has an outer cover layer with a first layer portion of metallic aluminium or an aluminium alloy and a second layer portion arranged thereover of aluminium oxide or a mixed oxide which contains aluminium and at least one further metal.
    Type: Grant
    Filed: August 26, 2010
    Date of Patent: October 14, 2014
    Assignee: Walter AG
    Inventor: Veit Schier
  • Patent number: 8859052
    Abstract: Methods of making components having calcium magnesium aluminosilicate (CMAS) mitigation capability include providing a component, applying an environmental barrier coating to the component, where the environmental barrier coating includes a CMAS mitigation composition selected from the group consisting of zinc aluminate spinel, alkaline earth zirconates, alkaline earth hafnates, rare earth gallates, beryl, and combinations thereof.
    Type: Grant
    Filed: November 30, 2012
    Date of Patent: October 14, 2014
    Assignee: General Electric Company
    Inventors: Glen Harold Kirby, Brett Allen Boutwell, John Frederick Ackerman
  • Patent number: 8859042
    Abstract: Embodiments of the invention generally relate to methods for chemical vapor deposition (CVD) processes. In one embodiment, a method for heating a substrate or a substrate susceptor within a vapor deposition reactor system includes exposing a lower surface of a substrate susceptor, such as a wafer carrier, to energy emitted from a heating lamp assembly, and heating the substrate susceptor to a predetermined temperature. The heating lamp assembly generally contains a lamp housing disposed on an upper surface of a support base and contains at least one lamp holder, a plurality of lamps extending from the lamp holder, and a reflector disposed on the upper surface of the support base, next to the lamp holder, and below the lamps. The plurality of lamps may have split filament lamps and/or non-split filament lamps for heating inner and outer portions of the substrate susceptor.
    Type: Grant
    Filed: March 16, 2010
    Date of Patent: October 14, 2014
    Assignee: Alta Devices, Inc.
    Inventors: Gang He, Gregg Higashi, Khurshed Sorabji, Roger Hamamjy, Andreas Hegedus
  • Patent number: 8859043
    Abstract: An organic layer deposition apparatus for forming an organic layer on a substrate includes: a deposition source configured to discharge a deposition material; a deposition source nozzle unit arranged at a side of the deposition source and including a plurality of deposition source nozzles; and a patterning slit sheet facing the deposition source nozzle unit and including a plurality of patterning slits and at least one spacer arranged between a pair of adjacent patterning slits of the plurality of patterning slits, the patterning slit sheet being smaller than the substrate in at least one of a first direction or a second direction perpendicular to the first direction, and the substrate is spaced apart from the organic layer deposition apparatus by a predetermined distance, and at least one of the substrate or the organic layer deposition apparatus is movable relative to the other.
    Type: Grant
    Filed: May 10, 2012
    Date of Patent: October 14, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Dong-Kyu Lee, Mu-Hyun Kim, Young-Rok Song, Sang-Pil Lee, Jung-Bae Song, Jong-Heon Kim, Byung-Su Kim, Yun-Mi Lee, Jae-Kwang Ryu
  • Publication number: 20140302238
    Abstract: An apparatus and method for the evaporation and deposition of materials onto a substrate. A material hopper assembly may receive source material. An agitator mechanism may be controlled for urging or advancing forward the source material. A grinding mechanism may be controlled for grinding source material. A heating pot vessel may be heated to evaporate the source material. The evaporated source material may be deposited on a proximate substrate. The rate of the deposition may be controlled in part by the agitator mechanism and/or the grinding mechanism. Temperature zones in a heating pot vessel may be independently controlled to evaporate the source material. A reactor chamber may be heated to allow the evaporated source materials to interact. A heated mesh may be charged to accelerate particles of the evaporated source materials onto the substrate.
    Type: Application
    Filed: August 24, 2012
    Publication date: October 9, 2014
    Applicant: Mustang Vacuum Systems, Inc.
    Inventors: Robert Choquette, Lawrence Egle, Aaron Dickey
  • Patent number: 8852696
    Abstract: Chemical vapor deposition (CVD) processes include, in one embodiment, a method for processing a wafer within a vapor deposition reactor comprising heating at least one wafer disposed on a wafer carrier by exposing a lower surface of the wafer carrier to radiation emitted from a lamp assembly and flowing a liquid through a passageway extending throughout the reactor to maintain the reactor lid assembly at a predetermined temperature, such as within a range from about 275° C. to about 325° C. The method further includes traversing the wafer carrier along a wafer carrier track through at least a chamber containing a showerhead assembly and an isolator assembly and another chamber containing a showerhead assembly and an exhaust assembly, and removing gases from the reactor through the exhaust assembly.
    Type: Grant
    Filed: March 16, 2010
    Date of Patent: October 7, 2014
    Assignee: Alta Devices, Inc.
    Inventors: Gang He, Gregg Higashi, Khurshed Sorabji, Roger Hamamjy, Andreas Hegedus
  • Patent number: 8852460
    Abstract: Methods and compositions for the deposition of a film on a substrate. In general, the disclosed compositions and methods utilize a precursor containing calcium or strontium.
    Type: Grant
    Filed: March 18, 2009
    Date of Patent: October 7, 2014
    Assignees: Air Liquide Electronics U.S. LP, American Air Liquide, Inc.
    Inventors: Olivier Letessier, Christian Dussarrat, Benjamin J. Feist, Vincent M. Omarjee
  • Publication number: 20140295166
    Abstract: Systems and methods for the formation of nanostructures, including carbon-based nanostructures, are generally described. In certain embodiments, substrate configurations and associated methods are described.
    Type: Application
    Filed: March 17, 2014
    Publication date: October 2, 2014
    Applicant: Massachusetts Institute of Technology
    Inventors: Stephen A. Steiner, III, Brian L. Wardle, Richard Li
  • Patent number: 8846484
    Abstract: Systems and methods for preparing resistive switching memory devices such as resistive random access memory (ReRAM) devices wherein both oxide and nitride layers are deposited in a single chamber are provided. Various oxide and nitride based layers in the ReRAM device such as the switching layer, current-limiting layer, and the top electrode (and optionally the bottom electrode) are deposited in the single chamber. By fabricating the ReRAM device in a single chamber, throughput is increased and cost is decreased. Moreover, processing in a single chamber reduces device exposure to air and to particulates, thereby minimizing device defects.
    Type: Grant
    Filed: February 15, 2012
    Date of Patent: September 30, 2014
    Assignees: Intermolecular, Inc., Kabushiki Kaisha Toshiba, SanDisk 3D LLC
    Inventors: Albert Sanghyup Lee, Chien-Lan Hsueh, Tim Minvielle, Takeshi Yamaguchi
  • Patent number: 8846140
    Abstract: The invention relates to a method for producing an optical article having antireflection or reflective properties and comprising a substrate having at least one main surface, comprising the step of depositing an sub-layer onto a substrate's main surface, the step of treating the sub-layer by ionic bombardment and the step of depositing onto said sub-layer a multilayered stack comprising at least one high refractive index layer and at least one low refractive index layer. According to a preferred embodiment, the deposition of the sub-layer is conducted in a vacuum chamber in which a gas is supplied during the deposition step.
    Type: Grant
    Filed: October 22, 2012
    Date of Patent: September 30, 2014
    Assignee: Essilor International (Compagnie Generale d'Optique)
    Inventors: Philippe Roisin, Michele Thomas
  • Patent number: 8846537
    Abstract: A mold having an open interior volume is used to define patterns. The mold has a ceiling, floor and sidewalls that define the interior volume and inhibit deposition. One end of the mold is open and an opposite end has a sidewall that acts as a seed sidewall. A first material is deposited on the seed sidewall. A second material is deposited on the deposited first material. The deposition of the first and second materials is alternated, thereby forming alternating rows of the first and second materials in the interior volume. The mold and seed layer are subsequently selectively removed. In addition, one of the first or second materials is selectively removed, thereby forming a pattern including free-standing rows of the remaining material. The free-standing rows can be utilized as structures in a final product, e.g., an integrated circuit, or can be used as hard mask structures to pattern an underlying substrate. The mold and rows of material can be formed on multiple levels.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: September 30, 2014
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Patent number: 8846146
    Abstract: The present invention provides methods for making structures, including nanosized and microsized thin film structures that exhibit a high degree of smoothness useful for applications in microelectronics. Deposition processing of the invention utilize smoothing agents capable of selectively adjusting the relative rates of processes involved in thin film formation and growth to access enhanced nucleation densities resulting in smooth thin film structures, including ultrathin (e.g., <10 nm) smooth films.
    Type: Grant
    Filed: October 31, 2011
    Date of Patent: September 30, 2014
    Assignee: The Board of Trustees of the University of Illinois
    Inventors: John R. Abelson, Gregory S. Girolami, Shaista Babar, Navneet Kumar
  • Publication number: 20140287140
    Abstract: A method of manufacturing a target for the generation of radiation of photons, protons or electrons by means of a laser, including: forming a support including first and second surfaces connected by openings, and forming in an enclosure a layer of material on the first surface by protecting the first surface with a protection element, injecting into the enclosure a gas of filling material, adjusting the pressure in the enclosure and the temperature of the support to form plugs of material in the openings of the support, and maintaining the temperature of the support and the pressure in the enclosure at values to maintain the plugs, followed by withdrawing the protection clement from the first surface, and forming a layer of metallic material on the first surface of the support and on the plugs. The pressure and support temperature are then modified to remove the plugs.
    Type: Application
    Filed: February 24, 2014
    Publication date: September 25, 2014
    Applicant: Commissariat A L'Energie Atomique Et Aux Energies Alternatives
    Inventor: Jean-Paul PERIN
  • Publication number: 20140287264
    Abstract: Provided is a nanostructure including ordered stacked sheets and processes for its preparation and use.
    Type: Application
    Filed: October 18, 2012
    Publication date: September 25, 2014
    Applicant: YEDA RESEARCH AND DEVELOPMENT CO. LTD.
    Inventors: Reshef Tenne, Gal Radovsky, Ronit Popovitz-Biro
  • Publication number: 20140287199
    Abstract: In one aspect, cutting tools are described having coatings adhered thereto which, in some embodiments, can demonstrate desirable wear resistance and increased cutting lifetimes. A coated cutting tool, in some embodiments, comprises a substrate and a coating adhered to the substrate, the coating comprising a polycrystalline layer of TiZrAl2O3.
    Type: Application
    Filed: March 20, 2014
    Publication date: September 25, 2014
    Applicant: Kennametal Inc.
    Inventors: Zhenyu Liu, Peter Rudolf Leicht, Rodrigo Alejandro Cooper, Mark S. Greenfield, Yixiong Liu
  • Publication number: 20140287164
    Abstract: Described herein are compositions for depositing a carbon-doped silicon containing film wherein the composition comprises a first precursor comprising at least one compound selected from the group consisting of: an organoaminoalkylsilane having a formula of R5Si(NR3R4)xH3-x wherein x=1, 2, 3; an organoalkoxyalkylsilane having a formula of R6Si(OR7)xH3-x wherein x=1, 2, 3; an organoaminosilane having a formula of R8N(SiR9(NR10R11)H)2; an organoaminosilane having a formula of R8N(SiR9LH)2 and combinations thereof; and optionally a second precursor comprising a compound having the formula: Si(NR1R2)H3. Also described herein are methods for depositing a carbon-doped silicon-containing film using the composition wherein the method is one selected from the following: cyclic chemical vapor deposition (CCVD), atomic layer deposition (ALD), plasma enhanced ALD (PEALD) and plasma enhanced CCVD (PECCVD).
    Type: Application
    Filed: June 1, 2012
    Publication date: September 25, 2014
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Manchao Xiao, Xinjian Lei, Ronald Martin Pearlstein, Haripin Chandra, Eugene Joseph Karwacki, JR., Bing Han, Mark Leonard O'Neill
  • Patent number: 8840958
    Abstract: Performing atomic layer deposition using a combined injector that sequentially injects source precursor and reactant precursor onto a substrate. The source precursor is injected into the injector via a first channel, injected onto the substrate and then discharged through a first exhaust portion. The reactant precursor is then injected into the injector via a second channel separate from the first channel, injected onto the substrate and then discharged through a second exhaust portion separate from the first exhaust portion. After injecting the source precursor or the reactant precursor, a purge gas may be injected into the injector and discharged to remove any source precursor or reactant precursor remaining in paths from the first or second channel to the first or second exhaust portion.
    Type: Grant
    Filed: February 7, 2012
    Date of Patent: September 23, 2014
    Assignee: Veeco ALD Inc.
    Inventor: Sang In Lee
  • Publication number: 20140272194
    Abstract: Described herein are organoaminosilane precursors which can be used to deposit silicon containing films which contain silicon and methods for making these precursors. Also disclosed herein are deposition methods for making silicon-containing films or silicon containing films using the organoaminosilane precursors described herein. Also disclosed herein are the vessels that comprise the organoaminosilane precursors or a composition thereof that can be used, for example, to deliver the precursor to a reactor in order to deposit a silicon-containing film.
    Type: Application
    Filed: May 30, 2014
    Publication date: September 18, 2014
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Manchao Xiao, Xinjian Lei, Bing Han, Mark Leonard O'Neill, Ronald Martin Pearlstein, Richard Ho, Haripin Chandra, Agnes Derecskei-Kovacs
  • Publication number: 20140263272
    Abstract: Embodiments of the present invention generally relate to heated substrate supports having a protective coating thereon. The protective coating is formed from yttrium oxide at a molar concentration ranging from about 50 mole percent to about 75 mole percent; zirconium oxide at a molar concentration ranging from about 10 mole percent to about 30 mole percent; and at least one other component, selected from the group consisting of aluminum oxide, hafnium oxide, scandium oxide, neodymium oxide, niobium oxide, samarium oxide, ytterbium oxide, erbium oxide, cerium oxide, and combinations thereof, at a molar concentration ranging from about 10 mole percent to about 30 mole percent. The alloying of yttrium oxide with a compatible oxide improves wear resistance, flexural strength, and fracture toughness of the protective coating, relative to pure yttrium oxide.
    Type: Application
    Filed: March 6, 2014
    Publication date: September 18, 2014
    Inventors: Ren-Guan DUAN, Juan Carlos ROCHA-ALVAREZ, Jianhua ZHOU
  • Publication number: 20140272135
    Abstract: In deposition devices, a precursor is directed at a substrate within a deposition chamber, and a block plate comprising a set of block plate apertures adjusts the direction and volume of the outflowing precursor. However, arrangements of block plate apertures that are suitable for some deposition scenarios (such as one type of precursor) are unsuitable for other deposition scenarios, resulting in precursor deposition that is undesirably thick, thin, or inconsistent. A set of block plate masks positioned over respective zones of the block plate are adjustable to align a set of masking apertures with respect to the block plate apertures, such as by operating a block plate motor to rotate a ring-shaped block plate mask over a cylindrical zone of the block plate. This configuration enables adjustable exposure of the block plate apertures to control the adjusted outflow of precursor through the block plate.
    Type: Application
    Filed: March 12, 2013
    Publication date: September 18, 2014
    Inventors: Chih-Chiang Chang, Yi-Nien Su, Su-Jen Sung, Chao-Chun Wang, Hsiang-Wei Lin
  • Publication number: 20140272346
    Abstract: A system and process for inter alia coating a substrate such as glass with a layer of aluminum oxide to create a scratch-resistant and shatter-resistant matrix comprised of a thin scratch-resistant aluminum oxide film deposited on one or more sides of a transparent and shatter-resistant substrate for use in consumer and mobile devices such as watch crystals, cell phones, tablet computers, personal computers and the like. The system and process may include a reactive thermal evaporation technique. An advantage of the reactive thermal evaporation technique includes using arbitrarily high oxygen pressures, allowing for higher growth rates of aluminum oxide at the surface of the substrate and, ultimately, a less expensive process. Another advantage of this reactive thermal evaporation process is that it does not utilize electrical fields typically found in traditional reactive sputtering techniques.
    Type: Application
    Filed: December 10, 2013
    Publication date: September 18, 2014
    Applicant: Rubicon Technology, Inc.
    Inventors: Jonathan LEVINE, John P. CIRALDO
  • Patent number: 8834963
    Abstract: A method for depositing a particle on a work piece is disclosed. The housing is coupled to the work piece to form a chamber and a separation distance between a surface of the work piece and a surface of the housing is controlled using a coupling device. A working gas having a particle entrained therein is directed within the chamber to deposit the particle at the work piece. The coupling between the housing and the work piece may be a slidable coupling. The coupling device may include an air-bearing surface or a gasketed coupling.
    Type: Grant
    Filed: August 20, 2013
    Date of Patent: September 16, 2014
    Assignee: International Business Machines Corporation
    Inventors: Theodore G. van Kessel, Brent A. Wacaser
  • Patent number: 8834686
    Abstract: A metallic nanoparticle coated microporous substrate, the process for preparing the same and uses thereof are described.
    Type: Grant
    Filed: January 11, 2010
    Date of Patent: September 16, 2014
    Assignee: 3M Innovative Properties Company
    Inventors: Donald J. McClure, Mario A. Perez
  • Patent number: 8834955
    Abstract: A gas panel according to various aspects of the present invention is configured to deliver a constant flow rate of gases to a reaction chamber during a deposition process step. In one embodiment, the gas panel comprises a deposition sub-panel having a deposition injection line, a deposition vent line, and at least one deposition process gas line. The deposition injection line supplies a mass flow rate of a carrier gas to a reactor chamber. Each deposition process gas line may include a pair of switching valves that are configured to selectively direct a deposition process gas to the reactor chamber or a vent line. The deposition vent line also includes a switching valve configured to selectively direct a second mass flow rate of the carrier gas that is equal to the sum of the mass flow rate for all of the deposition process gases to the reactor chamber or a vent line.
    Type: Grant
    Filed: April 3, 2014
    Date of Patent: September 16, 2014
    Assignee: ASM America, Inc.
    Inventors: Matthias Bauer, Gregory M. Bartlett
  • Patent number: 8834631
    Abstract: A processing apparatus includes a processing chamber configured to accommodate a target object to be processed, gas supply paths provided in a corresponding relationship with the kinds of process gases supplied into the processing chamber, and valves respectively arranged in the gas supply paths to open and close the gas supply paths. The processing apparatus further includes valve drive units configured to independently drive the valves, sensor units configured to independently monitor opening and closing operations of the valves, and a control unit configured to determine operation statuses of the valves based on valve opening and closing drive signals transmitted to the valve drive units and/or valve opening and closing detection signals transmitted from the sensor units.
    Type: Grant
    Filed: January 16, 2013
    Date of Patent: September 16, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Katsuhito Hirose, Toshio Miyazawa, Toshiharu Hirata, Toshimasa Tanaka
  • Publication number: 20140255606
    Abstract: Described are cobalt-containing films, as well as methods for providing the cobalt-containing films. Certain methods pertain to exposing a substrate surface to a precursor and a co-reactant to provide a cobalt-containing film, the first precursor having a structure represented by: wherein each R is independently C1-C6 substituted or un-substituted alkanes, branched or un-branched alkanes, substituted or un-substituted alkenes, branched or un-branched alkenes, substituted or un-substituted alkynes, branched or un-branched alkynes or substituted or un-substituted aromatics, L is a coordinating ligand comprising a Lewis base.
    Type: Application
    Filed: March 6, 2014
    Publication date: September 11, 2014
    Inventors: David Thompson, Jeffrey W. Anthis, David Knapp, Benjamin Schmiege
  • Patent number: 8828856
    Abstract: Provided is a TFT substrate (10) on which vapor-deposited sections are to be formed by use of a vapor deposition device (50) which includes a vapor deposition source (85) having injection holes (86); and a vapor deposition mask (81) having opening (82) through which vapor deposition particles are deposited to form the vapor-deposited sections. The TFT substrate (10) includes pixels two-dimensionally arranged in a pixel region (AG); and wires (14) electrically connected to the respective pixels. The vapor-deposited sections (Q) are formed with gaps (X) therebetween, and the wires (14) having respective terminals that are disposed in the gaps (X).
    Type: Grant
    Filed: January 13, 2012
    Date of Patent: September 9, 2014
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Tohru Sonoda, Shinichi Kawato, Satoshi Inoue, Satoshi Hashimoto