Characterized By Formation And Post Treatment Of Dielectrics, E.g., Planarizing (epo) Patents (Class 257/E21.576)

  • Patent number: 7800144
    Abstract: A semiconductor device of the present invention includes a substrate; an imaging region which is formed at part of the substrate and in which photoelectric conversion cells including photoelectric conversion sections are arranged in the form of an array; a control-circuit region which is formed at part of the substrate and in which the imaging region is controlled and a signal from the imaging region is outputted; and a copper-containing interconnect layer formed above the substrate and made of a material containing copper. Furthermore, a first anti-diffusion layer and a second anti-diffusion layer are formed, as anti-diffusion layers for preventing the copper from diffusing into each photoelectric conversion section, on the photoelectric conversion section and the copper-containing interconnect layer, respectively.
    Type: Grant
    Filed: September 18, 2008
    Date of Patent: September 21, 2010
    Assignee: Panasonic Corporation
    Inventors: Mitsuyoshi Mori, Mikiya Uchida, Kazuo Fujiwara, Takumi Yamaguchi
  • Patent number: 7795056
    Abstract: A method of fabricating a semiconductor device is provided. First, a first electrode is formed over a first region of a substrate. Then, a dielectric layer covering the first electrode is formed over the substrate. After that, a plurality of openings is formed on the first region of the substrate. Thereafter, a conductive layer covering the dielectric layer and the openings is formed over the substrate. Then, the conductive layer in the bottom of the openings is removed to form second electrodes. After that, the dielectric layer between the second electrode and the first electrode is removed.
    Type: Grant
    Filed: June 3, 2008
    Date of Patent: September 14, 2010
    Assignee: United Microelectronics Corp.
    Inventor: Hui-Shen Shih
  • Patent number: 7790607
    Abstract: A substantially planar surface coexposes conductive or semiconductor features and a dielectric etch stop material. A second dielectric material, different from the dielectric etch stop material, is deposited on the substantially planar surface. A selective etch etches a hole or trench in the second dielectric material, so that the etch stops on the conductive or semiconductor feature and the dielectric etch stop material. In a preferred embodiment the substantially planar surface is formed by filling gaps between the conductive or semiconductor features with a first dielectric such as oxide, recessing the oxide, filling with a second dielectric such as nitride, then planarizing to coexpose the nitride and the conductive or semiconductor features.
    Type: Grant
    Filed: October 25, 2007
    Date of Patent: September 7, 2010
    Assignee: SanDisk 3D LLC
    Inventors: Samuel V. Dunton, Usha Raghuram, Christopher J. Petti
  • Patent number: 7790606
    Abstract: A method of forming an interconnect structure in a semiconductor device in which via holes (62) defined in a dielectric layer are filled with a filler material (64), such as a porogen material, before a further dielectric layer (66) is deposited thereover. Trenches (72) are formed in the further dielectric layer and then the filler material exposed thereby in the via holes is removed. The method provides a robust process which affords improved via and trench profile control.
    Type: Grant
    Filed: October 5, 2007
    Date of Patent: September 7, 2010
    Assignee: NXP B.V.
    Inventor: Roel Daamen
  • Patent number: 7790604
    Abstract: A method of depositing a bilayer of tungsten over tungsten nitride by a plasma sputtering process in which krypton is used as the sputter working gas during the tungsten deposition. Argon may be used as the sputtering working gas during the reactive sputtering deposition of tungsten nitride. The beneficial effect of reduction of tungsten resistivity is increased when the thickness of the tungsten layer is less than 50 nm and further increased when less than 35 nm. The method may be used in forming a gate stack including a polysilicon layer over a gate oxide layer over a silicon gate region of a MOS transistor in which the tungsten nitride acts as a barrier. A plasma sputter chamber in which the invention may be practiced includes gas sources of krypton, argon, and nitrogen.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: September 7, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Wei D. Wang, Srinivas Gandikota, Kishore Lavu
  • Patent number: 7781318
    Abstract: Disclosed are a semiconductor device and a method for manufacturing the same, capable of improving the performance of a barrier and inhibiting a discontinuous step coverage and an overhang. The semiconductor device includes an interlayer dielectric layer having a via hole disposed on a semiconductor substrate, a first layer disposed in the via hole and including ruthenium (Ru), a second layer disposed on the first layer and including ruthenium oxide (RuO2), and a metal line disposed on the second layer and including a copper material.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: August 24, 2010
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Jae Hong Kim
  • Publication number: 20100207272
    Abstract: A semiconductor device includes a chip comprising a contact element, a structured dielectric layer over the chip, and a conductive element coupled to the contact element. The conductive element comprises a first portion embedded in the structured dielectric layer, a second portion at least partially spaced apart from the first portion and embedded in the structured dielectric layer, and a third portion contacting a top of the structured dielectric layer and extending at least vertically over the first portion and the second portion.
    Type: Application
    Filed: February 19, 2009
    Publication date: August 19, 2010
    Applicant: Infineon Technologies AG
    Inventors: Rainer Steiner, Jens Pohl, Werner Robl, Markus Brunnbauer, Gottfried Beer
  • Publication number: 20100203724
    Abstract: A manufacturing technique is disclosed for producing a semiconductor integrated circuit device having plural layers of buried wirings, and such that there is prevented the occurrence of a discontinuity caused by stress migration at an interface between a plug connected at a bottom thereof to a buried wiring and the buried wiring. For example, in the case where the width of a first Cu wiring is not smaller than about 0.9 ?m and is smaller than about 1.44 ?m, and the width of a second Cu wiring and the diameter of a plug are about 0.18 ?m, there are arranged two or more plugs which connect the first wirings and the second Cu wirings electrically with each other.
    Type: Application
    Filed: April 21, 2010
    Publication date: August 12, 2010
    Inventors: Takako FUNAKOSHI, Eiichi Murakami, Kazumasa Yanagisawa, Kan Takeuchi, Hideo Aoki, Hizuru Yamaguchi, Takayuki Oshima, Kazuyuki Tsunokuni, Kousuke Okuyama
  • Publication number: 20100193950
    Abstract: The invention relates generally to wafer level, chip scale semiconductor device packaging compositions capable of providing high density, small scale circuitry lines without the use of photolithography. The wafer level package comprises a stress buffer layer containing a polymer binder and a spinel crystal filler in both a non-activated and a laser activated form. The stress buffer layer is patterned with a laser to thereby activate the filler, and the laser ablation path can then be selectively metalized.
    Type: Application
    Filed: November 2, 2009
    Publication date: August 5, 2010
    Applicant: E.I.DU PONT DE NEMOURS AND COMPANY
    Inventors: YUEH-LING LEE, BIN-HONG TSAI, JAMES CHU, CHENG-CHUNG CHEN
  • Patent number: 7767570
    Abstract: A method of making an integrated circuit includes providing a low-k dielectric layer on a substrate, the low-k dielectric layer including or adjacent to a plurality of conductive features; patterning the low-k dielectric layer to form trenches; patterning the low-k dielectric layer to form conductive vias and dummy vias, wherein each of the conductive vias is aligned with at least one of the plurality of the conductive features and at least one of the trenches, and each of the dummy vias is a distance above the plurality of conductive features; filling the trenches, conductive vias, and dummy vias using one or more conductive materials; and planarizing the conductive material(s).
    Type: Grant
    Filed: July 12, 2006
    Date of Patent: August 3, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuei Shun Chen, Chin-Hsiang Lin, Vencent Chang, Lawrence Lin, Lai Chien Wen, Jhun Hua Chen
  • Patent number: 7768129
    Abstract: A metal interconnects structure, comprises a substrate (11), a dielectric layer (12) lying above the substrate, a stop layer (13) for metal etching lying above the dielectric layer, a metal layer (15?) lying above the stop layer, said metal layer being patterned according to a desired pattern.
    Type: Grant
    Filed: February 3, 2004
    Date of Patent: August 3, 2010
    Assignee: NXP B.V.
    Inventors: Marcel Eduard Broekaart, Arnoud Willem Fortuin
  • Publication number: 20100187591
    Abstract: A non-volatile semiconductor memory device includes: a cell array including a plurality of first wirings, a plurality of second wirings that intersects the plurality of first wirings, and memory cells that are formed at intersections of the first wirings and the second wirings and are connected between the first and second wirings; a first contact plug that comes into contact with a side portion of the first wiring provided at a first position and extends to the first wiring provided at a second position higher than the first position in a laminated direction; and a second contact plug that comes into contact with a side portion of the second wiring provided at a third position between the first position and the second position and extends to the second wiring provided at a fourth position higher than the second position in the laminated direction.
    Type: Application
    Filed: January 20, 2010
    Publication date: July 29, 2010
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Hiroyuki NAGASHIMA
  • Publication number: 20100190332
    Abstract: A copper-topped interconnect structure allows the combination of high density design areas, which have low current requirements that can be met with tightly packed thin and narrow copper traces, and low density design areas, which have high current requirements that can be met with more widely spaced thick and wide copper traces, on the same chip.
    Type: Application
    Filed: March 31, 2010
    Publication date: July 29, 2010
    Inventor: Abdalla Aly Naem
  • Publication number: 20100188592
    Abstract: A method for forming a multilayer includes a process for forming a first conductive layer on a substrate; a process for forming a first insulating layer on the first conductive layer; a process for forming a second conductive layer on the first insulating layer and patterning the deposited second conductive layer; a process for forming a second insulating layer over the substrate so as to cover the patterned the second conductive layer; a process for forming a third insulating layer on the second insulating layer, wherein an etching speed of the third insulating layer is faster than that of the second insulating layer; and a process for forming contact holes at once that expose at least a part of the first conductive layer to the first insulating layer, the second insulating layer and the third insulating layer.
    Type: Application
    Filed: January 20, 2010
    Publication date: July 29, 2010
    Applicant: CASIO COMPUTER CO., LTD.
    Inventors: Yoshitaka TANAKA, Hiroyuki CHIKAMORI
  • Publication number: 20100181673
    Abstract: A method for fabricating a semiconductor device, includes forming a dielectric film above a substrate; forming an opening in the dielectric film; forming a first film containing a metal whose energy for forming silicide thereof is lower than that of Cu silicide inside the opening; forming a second film that is conductive and contains copper (Cu) in the opening in which the first film containing the metal is formed; and forming a compound film containing Cu and silicon (Si) selectively on the second film in an atmosphere in which a temperature of the substrate is below 300° C.
    Type: Application
    Filed: January 5, 2010
    Publication date: July 22, 2010
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Yumi HAYASHI, Atsuko Sakata, Kei Watanabe, Noriaki Matsunaga, Shinichi Nakao, Makoto Wada, Hiroshi Toyoda
  • Publication number: 20100176484
    Abstract: The present invention provides an ESD protection device and the like having improved durability against repeated use. The ESD protection device includes a base 2 having an insulating surface 2a, electrodes 3a and 3b disposed on the insulating surface 2a and facing but spaced apart from each other, and a functional layer 4 disposed on at least between the electrodes 3a and 3b, wherein composite in which particles of a conductive inorganic material 4b having an average particle diameter of 1 to 200 nm are discretely interspersed in a matrix of an insulating inorganic material 4a is adopted as the functional layer 4.
    Type: Application
    Filed: January 14, 2010
    Publication date: July 15, 2010
    Applicant: TDK CORPORATION
    Inventors: Kensaku Asakura, Yasuhiro Hirobe, Atsushi Hitomi, Takeshi Urano
  • Publication number: 20100171156
    Abstract: In one embodiment of the invention, contact patterning may be divided into two or more passes which may allow designers to control the gate height critical dimension relatively independent from the contact top critical dimension.
    Type: Application
    Filed: March 15, 2010
    Publication date: July 8, 2010
    Inventors: Nadia Rahhal-Orabi, Charles H. Wallace, Alison Davis, Swaminathan Sivakumar
  • Patent number: 7749920
    Abstract: While a fine porous diamond particle film has been known as a high heat resistant and low dielectric constant film and also has high mechanical strength and heat conductivity, and is expected as an insulating film for multi-layered wirings in semiconductor integrated circuit devices, it is insufficient in current-voltage characteristic and has not yet been put into practical use. According to the invention, by treating the fine porous diamond particle film with an aqueous solution of a salt of a metal such as barium and calcium, the carbonate or sulfate of which is insoluble or less soluble, and a hydrophobic agent such as hexamethyl disilazane or triethyl monochloro silane, as well as a reinforcing agent containing one of dichlorotetramethyl disiloxane or dimethoxytetramethyl disiloxane, thereby capable of putting the dielectric breakdown voltage and the leak current within a specified range of a practical standard.
    Type: Grant
    Filed: June 25, 2004
    Date of Patent: July 6, 2010
    Assignee: Rorze Corporation
    Inventors: Toshio Sakurai, Takayuki Takahagi, Hiroyuki Sakaue, Shoso Shingubara, Hiroyuki Tomimoto
  • Patent number: 7750479
    Abstract: An interconnect structure and method of fabricating the same in which the critical dimension of the conductive features are not altered by a plasma damaged layer are provided. In accordance with the present invention, a chemically etching dielectric material is subjected to a treatment step which modifies the density of the dielectric material such that the treated surfaces become denser than the bulk dielectric not subjected to the treatment. The treatment step is performed prior to deposition of the noble metal liner.
    Type: Grant
    Filed: September 19, 2007
    Date of Patent: July 6, 2010
    Assignee: International Business Machines Corporation
    Inventors: Sampath Purushothaman, Muthumanickam Sankarapandian, Hosadurga Shobha, Terry A. Spooner
  • Publication number: 20100164073
    Abstract: Electrical structures and devices may be formed and include an organic passivating layer that is chemically bonded to a silicon-containing semiconductor material to improve the electrical properties of electrical devices. In different embodiments, the organic passivating layer may remain within finished devices to reduce dangling bonds, improve carrier lifetimes, decrease surface recombination velocities, increase electronic efficiencies, or the like. In other embodiments, the organic passivating layer may be used as a protective sacrificial layer and reduce contact resistance or reduce resistance of doped regions. The organic passivation layer may be formed without the need for high-temperature processing.
    Type: Application
    Filed: July 20, 2009
    Publication date: July 1, 2010
    Applicant: THE CALIFORNIA INSTITUTE OF TECHNOLOGY
    Inventors: Nathan S. Lewis, William Royea
  • Patent number: 7745934
    Abstract: Structures are provided that include a conducting layer disposed on a layered arrangement of a diffusion barrier layer and a seed layer in an integrated circuit. Apparatus and systems having such structures and methods of forming these structures for apparatus and systems are disclosed.
    Type: Grant
    Filed: June 24, 2008
    Date of Patent: June 29, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Paul A. Farrar
  • Patent number: 7745312
    Abstract: A method of making a pillar device includes providing an insulating layer having an opening, and selectively depositing germanium or germanium rich silicon germanium semiconductor material into the opening to form the pillar device.
    Type: Grant
    Filed: January 15, 2008
    Date of Patent: June 29, 2010
    Assignee: Sandisk 3D, LLC
    Inventors: S. Brad Herner, Christopher J. Petti
  • Patent number: 7745324
    Abstract: The invention comprises a copper interconnect structure that includes a noble metal cap with dielectric immediately adjacent the copper/noble metal cap interface recessed from the noble metal cap.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: June 29, 2010
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Shyng-Tsong Chen, Baozhen Li
  • Publication number: 20100155962
    Abstract: A semiconductor device includes a semiconductor substrate, a diffusion region provided on a surface portion of a first surface of the semiconductor substrate, a first line provided on the first surface of the semiconductor substrate, a through-hole penetrating the semiconductor substrate in the thickness direction, and a through-hole electrode provided in the through-hole, and contacting a rear surface of the first line and extending to a second surface opposite the first surface of the semiconductor substrate. The semiconductor device further includes a recess provided on the second surface of the semiconductor substrate and a second line provided in the recess and electrically connected to the through-hole electrode.
    Type: Application
    Filed: February 26, 2010
    Publication date: June 24, 2010
    Applicant: PANASONIC CORPORATION
    Inventors: Daisuke INOUE, Takahiro Nakano
  • Publication number: 20100148345
    Abstract: A packaged electronic device includes a die, a flexible circuit structure, and a barrier film disposed on the die. The die includes die circuitry and electrical contacts. The flexible circuit structure is bonded directly to the die, and includes electrical conductors encapsulated by structural layers. Each electrical conductor contacts a respective electrical contact. The electronic device is encapsulated by the barrier film and one or more of the structural layers.
    Type: Application
    Filed: December 12, 2008
    Publication date: June 17, 2010
    Inventors: Helmut Eckhardt, Stefan Ufer
  • Patent number: 7737047
    Abstract: Some embodiments include methods of forming dielectric materials associated with semiconductor constructions. A semiconductor substrate surface having two different compositions may be exposed to a first silanol, then to organoaluminum to form a monolayer, and finally to a second silanol to form a dielectric material containing aluminum from the organoaluminum together with silicon and oxygen from the second silanol. Alternatively, or additionally, an organoaluminum monolayer may be formed across a semiconductor substrate, and then exposed to silanol within a deposition chamber, with the silanol being provided in two doses. Initially, a first dose of the silanol is injected the chamber, and then the first dose is flushed from the chamber to remove substantially all unreacted silanol from within the chamber. Subsequently, the second dose of silanol is injected into the chamber. Some embodiments include semiconductor constructions.
    Type: Grant
    Filed: August 25, 2006
    Date of Patent: June 15, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Christopher W. Hill
  • Patent number: 7737052
    Abstract: A dielectric cap, interconnect structure containing the same and related methods are disclosed. The inventive dielectric cap includes a multilayered dielectric material stack wherein at least one layer of the stack has good oxidation resistance, Cu diffusion and/or substantially higher mechanical stability during a post-deposition curing treatment, and including Si—N bonds at the interface of a conductive material such as, for example, Cu. The dielectric cap exhibits a high compressive stress and high modulus and is still remain compressive stress under post-deposition curing treatments for, for example: copper low k back-end-of-line (BEOL) nanoelectronic devices, leading to less film and device cracking and improved reliability.
    Type: Grant
    Filed: March 5, 2008
    Date of Patent: June 15, 2010
    Assignees: International Business Machines Corporation, Advanced Micro Devices, Inc., Applied Materials, Inc.
    Inventors: Ritwik Bhatia, Griselda Bonilla, Alfred Grill, Joshua L. Herman, Son Van Nguyen, E. Todd Ryan, Hosadurga Shobha
  • Publication number: 20100144139
    Abstract: A backside method for fabricating a semiconductor component with a conductive interconnect includes the step of providing a semiconductor substrate having a circuit side, a backside, and a substrate contact on the circuit side. The method also includes the steps of forming a substrate opening from the backside to the substrate contact, and then bonding the conductive interconnect to an inner surface of the substrate contact.
    Type: Application
    Filed: February 10, 2010
    Publication date: June 10, 2010
    Inventors: Alan G. Wood, William M. Hiatt, David R. Hembree
  • Patent number: 7727891
    Abstract: A method of manufacturing a semiconductor device, including the following processes of forming a structure in which a barrier metal containing at least of Ti and Ta and a copper wiring are exposed on its surface, or a structure in which at least one substance selected from the group consisting of Ti, W, and Cu and Al are exposed on its surface, above a semiconductor substrate, and supplying a hydrogen-dissolved solution dissolving hydrogen gas to the surface of the structure.
    Type: Grant
    Filed: March 9, 2005
    Date of Patent: June 1, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshitaka Matsui, Masako Kodera
  • Patent number: 7723849
    Abstract: A semiconductor device and a manufacturing method thereof are provided for the improvement of the reliability of copper damascene wiring in which a film between wiring layers and a film between via layers are comprised of an SiOC film with low dielectric constant. A film between wiring layers, a film between wiring layers, and a film between via layers are respectively comprised of an SiOC film, and stopper insulating films and a cap insulating film are comprised of a laminated film of an SiCN film A and an SiC film B. By doing so, it becomes possible to reduce the leakage current of the film between wiring layers, the film between wiring layers, and the film between via layers, and also possible to improve the adhesion of the film between wiring layers, the film between wiring layers, and the film between via layers to the stopper insulating films and the cap insulating film.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: May 25, 2010
    Assignee: Renesas Technology Corp.
    Inventors: Kazutoshi Ohmori, Tsuyoshi Tamaru, Naohumi Ohashi, Kiyohiko Sato, Hiroyuki Maruyama
  • Publication number: 20100123170
    Abstract: A semiconductor device includes a transistor, a conductive pad, and a contact. The conductive pad is electrically connected to the transistor. The conductive pad may include, but is not limited to, a first region and a second region. The contact is electrically connected to the conductive pad. At least a main part of the first region overlaps the transistor in plan view. At least a main part of the second region does not overlap the transistor in plan view. At least a main part of the contact overlaps the second region in plan view. The at least main part of the contact does not overlap the first region in plan view. The at least main part of the contact does not overlap the transistor in plan view.
    Type: Application
    Filed: November 18, 2009
    Publication date: May 20, 2010
    Inventor: Hiroyuki FUJIMOTO
  • Publication number: 20100109161
    Abstract: Metallization systems on the basis of copper and low-k dielectric materials may be efficiently formed by providing an additional dielectric material of enhanced surface conditions after the patterning of the low-k dielectric material. Consequently, defects such as isolated copper voids and the like may be reduced without significantly affecting overall performance of the metallization system.
    Type: Application
    Filed: September 23, 2009
    Publication date: May 6, 2010
    Inventors: Holger Schuehrer, Juergen Boemmels
  • Publication number: 20100112809
    Abstract: A mold with a protruding pattern is provided that is pressed into a thin polymer film via an imprinting process. Controlled connections between nanowires and microwires and other lithographically-made elements of electronic circuitry are provided. An imprint stamp is configured to form arrays of approximately parallel nanowires which have (1) micro dimensions in the X direction, (2) nano dimensions and nano spacing in the Y direction, and three or more distinct heights in the Z direction. The stamp thus formed can be used to connect specific individual nanowires to specific microscopic regions of microscopic wires or pads. The protruding pattern in the mold creates recesses in the thin polymer film, so the polymer layer acquires the reverse of the pattern on the mold. After the mold is removed, the film is processed such that the polymer pattern can be transferred on a metal/semiconductor pattern on the substrate.
    Type: Application
    Filed: December 7, 2006
    Publication date: May 6, 2010
    Inventors: Pavel Kornilovich, Yong Chen, Duncan Stewart, R. Stanley Williams, Philip J. Kuekes, Mehmet Fatih Yanik
  • Publication number: 20100109155
    Abstract: A semiconductor device includes a dielectric layer in which an upper portion is densified. An interconnection is disposed in the dielectric layer. The densified portion reduces undercut during subsequent processing, improving reliability of the interconnection.
    Type: Application
    Filed: November 5, 2008
    Publication date: May 6, 2010
    Applicant: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Huang LIU, Johnny WIDODO, Yihua WANG, Wuping LIU, Ti OUYANG, Wei LU
  • Publication number: 20100102426
    Abstract: Disclosed herein is a dual face package and a method of manufacturing the same. The dual face package includes a semiconductor substrate including a through-electrode connected to a die pad disposed on one side of the semiconductor substrate, and a lower redistribution layer disposed on another side thereof and connected to the through-electrode, an insulating layer including a post electrode connected to the through-electrode, and an upper redistribution layer disposed on one side thereof and connected to the post electrode, and an adhesive layer disposed on the one side of the semiconductor substrate so as to attach the insulating layer to the semiconductor substrate such that the through-electrode is connected to the post electrode. The dual face package is produced by a simple process and is applicable to a large diameter wafer level package.
    Type: Application
    Filed: January 22, 2009
    Publication date: April 29, 2010
    Applicant: SAMSUNG ELECTRO-MECHANICS CO., LTD.
    Inventors: Seung Wook Park, Young Do Kweon, Jingli Yuan, Seon Hee Moon, Ju Pyo Hong, Jae Kwang Lee
  • Patent number: 7701011
    Abstract: An electronic device, including a substrate, a plurality of first semiconductor islands on the substrate, a plurality of second semiconductor islands on the substrate, a first dielectric film on the first subset of the semiconductor islands, second dielectric film on the second semiconductor islands, and a metal layer in electrical contact with the first and second semiconductor islands. The first semiconductor islands and the first dielectric film contain a first diffusible dopant, and the second semiconductor islands and the second dielectric layer film contain a second diffusible dopant different from the first diffusible dopant. The present electronic device can be manufactured using printing technologies, thereby enabling high-throughput, low-cost manufacturing of electrical circuits on a wide variety of substrates.
    Type: Grant
    Filed: August 3, 2007
    Date of Patent: April 20, 2010
    Assignee: Kovio, Inc.
    Inventors: Arvind Kamath, James Montague Cleeves, Joerg Rockenberger, Patrick Smith, Fabio ZĂĽrcher
  • Publication number: 20100093168
    Abstract: A method of forming an interconnect structure comprising: forming a sacrificial inter-metal dielectric (IMD) layer over a substrate, wherein the sacrificial IMD layer comprising a carbon-based film, such as amorphous carbon, advanced patterning films, porous carbon, or any combination thereof; forming a plurality of metal interconnect lines within the sacrificial IMD layer; removing the sacrificial IMD layer, with an oxygen based reactive process; and depositing a non-conformal dielectric layer to form air gaps between the plurality of metal interconnect lines. The metal interconnect lines may comprise copper, aluminum, tantalum, tungsten, titanium, tantalum nitride, titanium nitride, tungsten nitride, or any combination thereof. Carbon-based films and patterned photoresist layers may be simultaneously removed with the same reactive process.
    Type: Application
    Filed: October 10, 2008
    Publication date: April 15, 2010
    Inventor: MEHUL NAIK
  • Patent number: 7693382
    Abstract: A device for optical communication includes an organic optical waveguide having a core part and a cladding part. The core part and the cladding part comprise a polymer material, and the cladding part includes particles.
    Type: Grant
    Filed: April 8, 2005
    Date of Patent: April 6, 2010
    Assignee: Ibiden Co., Ltd.
    Inventor: Motoo Asai
  • Publication number: 20100081273
    Abstract: A method for fabricating a conductive pattern including following steps is provided. A first conductive layer is formed on a substrate. A patterned hard mask layer is formed on the first conductive layer. A portion of the first conductive layer is removed to expose a portion of the substrate by using the patterned hard mask layer as a mask. A dielectric layer covering the patterned hard mask layer is formed on the substrate. A portion of the dielectric layer is removed to expose the patterned hard mask layer. The patterned hard mask layer is removed to form an opening in the dielectric layer. A second conductive layer is formed in the opening.
    Type: Application
    Filed: September 30, 2008
    Publication date: April 1, 2010
    Applicant: POWERCHIP SEMICONDUCTOR CORP.
    Inventors: Jung-Yuan Hsieh, Yung-Ching Chen
  • Patent number: 7687910
    Abstract: A semiconductor device according to an embodiment of the present invention includes a semiconductor substrate; a wiring formed in predetermined pattern above the semiconductor substrate, a first insulating film lying right under the wiring, and a second insulating film lying in a peripheral portion other than a portion right under the wiring, in which a surface layer of the first insulating film lying in a boundary surface between the first insulating film and the second insulating film is chemically modified to reinforce the surface layer.
    Type: Grant
    Filed: July 13, 2006
    Date of Patent: March 30, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazumichi Tsumura, Masaki Yamada
  • Patent number: 7687359
    Abstract: The present invention relates to a method for fabricating flash memory devices. The method may include the steps of forming an oxide/nitride/oxide (ONO) layer over a semiconductor substrate and a gate electrode on the ONO layer. Next, source/drain impurity region may be formed in a surface of the semiconductor substrate on both sides of the gate electrode and a pre-metal dielectric (PMD) layer may be formed over an entire surface of the semiconductor substrate including the gate electrode. Finally, a densification process for densifying the PMD layer may be performed under a gas atmosphere. A densification gas atmosphere used for densifying the PMD layer may include an H2 or N2/H2 atmosphere.
    Type: Grant
    Filed: December 10, 2007
    Date of Patent: March 30, 2010
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Dae Ho Jeong
  • Publication number: 20100072620
    Abstract: Various semiconductor devices and methods of testing such devices are disclosed. In one aspect, a method of manufacturing is provided that includes forming a bore from a backside of a semiconductor chip through a buried insulating layer and to a semiconductor device layer of the semiconductor chip. A conductor structure is formed in the bore to establish an electrically conductive pathway between the semiconductor device layer and the conductor structure. The conductor structure may provide a diagnostic pathway.
    Type: Application
    Filed: September 25, 2008
    Publication date: March 25, 2010
    Inventors: Liang Wang, Michael R. Bruce
  • Patent number: 7679192
    Abstract: A semiconductor device includes a semiconductor substrate, an interlayer insulating film formed over the substrate, a trench formed in the interlayer insulating film, a cover film formed over the inside surface of the trench, a barrier layer formed over the cover film; and a metal line formed over the barrier layer which fills and seals the trench. The metal line is in direct contact with the semiconductor substrate.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: March 16, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Han-Choon Lee
  • Patent number: 7675178
    Abstract: A method of fabricating a stacked structure for forming a damascene process is described. A doped dielectric layer is formed on a substrate. A surface treatment is performed to the dielectric layer to make the dopant concentration in an upper surface layer of the dielectric layer lower than that in the other portions of the dielectric layer. A metal hard mask is then formed on the dielectric layer. Since the dopant conc. in the upper surface layer of the dielectric layer is lowered, the reaction between the metal hard mask and the dopant in the dielectric layer can be inhibited.
    Type: Grant
    Filed: December 28, 2005
    Date of Patent: March 9, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Chin-Hsiang Lin, Chih-Chien Liu
  • Patent number: 7674390
    Abstract: A method for forming a sol gel-zeolite composite dielectric material is herein described. Zeolite particles may be dispersed in a sol creating a liquid sol-zeolite colloid. The liquid sol-zeolite colloid may be deposited on an underlying layer. The liquid sol-zeolite colloid may be formed into a wet gel-zeolite composite. All of the liquid may be extracted from the wet gel-zeolite composite to form an aerogel-zeolite composite. Then the wet gel-zeolite composite or the aerogel-zeolite composite may be calcined to freeze the structure of the composite material.
    Type: Grant
    Filed: November 17, 2003
    Date of Patent: March 9, 2010
    Assignee: Intel Corporation
    Inventor: Hai Deng
  • Publication number: 20100052175
    Abstract: By recessing metal lines and/or the dielectric material of a metallization layer of sophisticated semiconductor devices, the time to dielectric breakdown may be increased due to reducing electrical fields and diffusion paths at the top of the metal lines.
    Type: Application
    Filed: July 22, 2009
    Publication date: March 4, 2010
    Inventors: Robert Seidel, Ralf Richter
  • Patent number: 7670941
    Abstract: A method for production of semiconductor devices which includes the steps of forming, on an interlayer insulating film formed on a substrate, a copper-containing conductive layer in such a way that its surface is exposed, performing heat treatment with a reducing gas composed mainly of hydrogen on the surface of the conductive layer, performing plasma treatment with a reducing gas on the surface of the conductive layer, thereby permitting the surface of the conductive layer to be reduced and the hydrogen adsorbed by the heat treatment to be released, and forming an oxidation resistance film that covers the surface of the conductive layer such that the surface of the conductive layer is not exposed to an oxygen-containing atmospheric gas after the plasma treatment.
    Type: Grant
    Filed: August 18, 2006
    Date of Patent: March 2, 2010
    Assignee: Sony Corporation
    Inventors: Koji Kawanami, Kiyotaka Tabuchi
  • Publication number: 20100047964
    Abstract: A method is provided for fabricating a 3D integrated circuit structure. Provided are an interface wafer including a first wiring layer and through-silicon vias, and a first active circuitry layer wafer including active circuitry. The first active circuitry layer wafer is bonded to the interface wafer. Then, a first portion of the first active circuitry layer wafer is removed such that a second portion remains attached to the interface wafer. A stack structure including the interface wafer and the second portion of the first active circuitry layer wafer is bonded to a base wafer. Next, the interface wafer is thinned so as to form an interface layer, and metallizations coupled through the through-silicon vias in the interface layer to the first wiring layer are formed on the interface layer. Also provided is a tangible computer readable medium encoded with a program that comprises instructions for performing such a method.
    Type: Application
    Filed: August 19, 2008
    Publication date: February 25, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Mukta G. FAROOQ, Robert HANNON, Subramanian S. IYER, Steven J. KOESTER, Fei LIU, Sampath PURUSHOTHAMAN, Albert M. YOUNG, Roy R. YU
  • Patent number: 7662712
    Abstract: A method for fabricating a semiconductor device includes providing a semiconductor substrate comprising a patterned metal conductor layer. To provide UV blocking, an overlying separation layer is formed over the substrate, and a UV blocking layer of silicon enriched oxide is formed over the separation layer. The UV blocking layer has a silicon atomic concentration sufficient for ultraviolet blocking. A gap-filling, hydrogen-blocking layer may be formed over the semiconductor substrate, and any the UV blocking layer, to prevent hydrogen from passing therethrough.
    Type: Grant
    Filed: February 10, 2006
    Date of Patent: February 16, 2010
    Assignee: Macronix International Co., Ltd.
    Inventors: Lee Jen Chen, Shing Ann Luo, Chin Ta Su
  • Patent number: 7652329
    Abstract: In one embodiment, a vertical MOS transistor is formed without a thick field oxide and particularly without a thick field oxide in the termination region of the transistor.
    Type: Grant
    Filed: July 13, 2007
    Date of Patent: January 26, 2010
    Assignee: Semiconductor Components Industries, LLC
    Inventor: Prasad Venkatraman