Coating By Vapor, Gas, Or Smoke Patents (Class 427/248.1)
  • Publication number: 20140011038
    Abstract: A system or method for applying a protective environmental coating for a gas turbine component. The coating includes a bond layer applied to a substrate comprised of a ceramic matrix composite material and environmental barrier coating layers. The first environmental barrier coating layer is bonded to the substrate by the bond layer. The bond layer comprises silicon and particles consisting of particles of Lanthanum or Cerium.
    Type: Application
    Filed: July 5, 2012
    Publication date: January 9, 2014
    Applicant: GENERAL ELECTRIC COMPANY
    Inventors: Rupak DAS, Jon Conrad SCHAEFFER, James ZHANG
  • Publication number: 20140010957
    Abstract: A vapor deposition particle emitting device (30) includes a hollow rotor (40) provided with a first and a second nozzle sections (50 and 60), a rolling mechanism, and heat exchangers (52 and 62), and when the rolling mechanism causes the rotor (40) to rotate, the heat exchangers (52 and 62) switch between cooling and heating in accordance with placement of the nozzle section so that that one of the nozzle sections which faces outward has a temperature lower than a temperature at which vapor deposition material turns into gas and the other nozzle section has a temperature equal to or higher than the temperature at which the vapor deposition material turns into the gas.
    Type: Application
    Filed: March 7, 2012
    Publication date: January 9, 2014
    Applicant: Sharp Kabushiki Kaisha
    Inventors: Satoshi Inoue, Shinichi Kawato, Tohru Sonoda
  • Publication number: 20140008210
    Abstract: The present invention provides a filtration chamber comprising a microfabricated filter enclosed in a housing, wherein the surface of said filter and/or the inner surface of said housing are modified by vapor deposition, sublimation, vapor-phase surface reaction, or particle sputtering to produce a uniform coating; and a method for separating cells of a fluid sample, comprising: a) dispensing a fluid sample into the filtration chamber disclosed herein; and b) providing fluid flow of the fluid sample through the filtration chamber, wherein components of the fluid sample flow through or are retained by the filter based on the size, shape, or deformability of the components.
    Type: Application
    Filed: March 15, 2013
    Publication date: January 9, 2014
    Inventors: Antonio GUIA, Douglas T. YAMANISHI, Andrea GHETTI, Guoliang TAO, Huimin TAO, Ky TRUONG, Lei WU, Xiaobo WANG
  • Patent number: 8623455
    Abstract: A multiple vacuum evaporation coating device and a method for controlling the same. The vacuum evaporation coating device includes a plurality of evaporation sources, a rotating part adapted to rotate the plurality of evaporation sources and a coating block plate adapted to block all but one of said plurality of evaporation sources at any time, each of the plurality of evaporation sources comprise a case, a melting pot arranged within said case, an evaporation material arranged within the melting pot, a heating device arranged outside the melting pot and adapted to heat and evaporate the evaporation material, and a cooling device adapted to block heat generated by the heating device from transferring to an outside.
    Type: Grant
    Filed: August 23, 2011
    Date of Patent: January 7, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Sung-Ho Lee, Su-Hwan Kim, Min-Jeong Hwang
  • Publication number: 20140005083
    Abstract: Embodiments of the present invention may provide textured surfaces to be lubricated, the texturing to enhance the effectiveness of the intended nano-lubrication. The texturing may make asperities and depressions in the surface to be lubricated. This texturing may be executed, for example, by chemical etching, laser etching, or other techniques. This texturing may create locations in the lubricated surface to hold or anchor the intended nano-lubricants, to facilitate the creation of a tribo-film on the surface when the lubricated surface is used under pressure, and resulting in delivery of multiple chemistries from the nano-lubricant.
    Type: Application
    Filed: June 13, 2013
    Publication date: January 2, 2014
    Applicant: NanoMech, Inc.
    Inventor: Ajay P. Malshe
  • Publication number: 20140004381
    Abstract: An article and method of manufacture of a composite material. The method includes providing a starting scaffold with interfacial porosity, performing an infiltration step to fill the porosity and form a bond to the scaffold with an interface layer and forming an overlayer integrally coupled to the interface layer.
    Type: Application
    Filed: June 28, 2012
    Publication date: January 2, 2014
    Inventors: Jeffrey W. ELAM, Angel Yanguas-Gil
  • Patent number: 8617669
    Abstract: An apparatus and method is disclosed for synthesizing graphene comprising the steps of providing a substrate and focusing a laser beam in the presence of a carbon doping gas to induce photolytic decomposition of the gas to atomic carbon. The carbon is photolytically reacted with the substrate to grow graphene.
    Type: Grant
    Filed: December 7, 2010
    Date of Patent: December 31, 2013
    Inventors: Nathaniel R. Quick, Aravinda Kar
  • Patent number: 8617314
    Abstract: An organic material deposition system and method are provided. The organic material deposition apparatus may include a chamber having a processing space formed therein, a source supply device that generates an organic source and injects and diffuses the organic source into the processing space through a shower head provided in the processing space. The substrate is supported by a stage device that moves the substrate upward and downward within the processing space to adjust a distance between the substrate and the shower head. A pumping port provided at an upper positioned at an upper portion of the processing space provides a vacuum exhaust path that directs flow through the processing space toward the stage device. This allows an organic thin film with a uniform thickness to be deposited using an apparatus with a relatively simple configuration.
    Type: Grant
    Filed: October 21, 2008
    Date of Patent: December 31, 2013
    Assignee: ADP Engineering Co., Ltd.
    Inventors: Young Ung An, Ki Taek Jung, Jong Yeoul Ji, Sang Leoul Kim
  • Patent number: 8613976
    Abstract: A method of forming a silicon oxide film, comprising the steps of: providing a substrate into a reaction chamber; injecting into the reaction chamber at least one silicon containing compound where the at least one silicon containing compound is bis(diethylamino)silane; injecting Oxygen into the reaction chamber and at least one other O-containing gas selected from ozone and water; reacting in the reaction chamber by chemical vapor deposition at a temperature below 400 C the at least one silicon containing compound and the at least one oxygen containing gas in order to obtain the silicon oxide film deposited onto the substrate.
    Type: Grant
    Filed: July 12, 2012
    Date of Patent: December 24, 2013
    Assignee: L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude
    Inventors: Christian Dussarrat, Ikuo Suzuki, Kazutaka Yanagita, Julien Gatineau, Eri Tsukada
  • Patent number: 8613984
    Abstract: A plasma vapor deposition system for making multi-junction silicon thin film solar cell modules and panels including a flexible substrate disposed about and removably supported by a dual-walled cylindrical substrate support for axially rotating the flexible substrate about its longitudinal axis, the dual-walled cylindrical substrate support comprising an inner wall spaced apart by an outer wall to define a coaxial cavity; a plasma vapor deposition torch located substantially adjacent to the flexible substrate for depositing at least one thin film material layer on an outer surface of the flexible substrate; and a traversing platform for supporting the rotatable substrate support relative to the plasma vapor deposition torch, the rotatable substrate support being traversed along its longitudinal axis by the traversing platform.
    Type: Grant
    Filed: March 23, 2012
    Date of Patent: December 24, 2013
    Inventor: Mohd Aslami
  • Publication number: 20130336848
    Abstract: Hydrogen energy systems for obtaining hydrogen gas from a solid storage medium using controlled laser beams. Also disclosed are systems for charging/recharging magnesium with hydrogen to obtain magnesium hydride. Other relatively safe systems assisting storage, transport and use (as in vehicles) of such solid storage mediums are disclosed.
    Type: Application
    Filed: August 16, 2013
    Publication date: December 19, 2013
    Inventor: Paul H. Smith, JR.
  • Publication number: 20130337170
    Abstract: The invention provides methods and apparatus for supporting a substrate in a chemical vapor deposition reactor, and methods and apparatus for synthesizing large area thin films. The invention provides a method to coil the substrate into a cylindrical shape with a buffer layer embedded so as to achieve a many-fold increase in the effective width of the substrate. The buffer layer may also provide precursors or reactants for the deposition of the thin film.
    Type: Application
    Filed: June 19, 2012
    Publication date: December 19, 2013
    Inventor: Xuesong Li
  • Publication number: 20130337171
    Abstract: This disclosure provides systems, methods and apparatus for purge gas delivery in an atomic layer deposition (ALD) processing apparatus. The ALD processing apparatus can include a processing chamber including a lid and a chamber wall. One or more process gas lines for delivering process gases are coupled to one or more process gas delivery sources in the processing chamber. An o-ring can be positioned proximate an outer edge of the processing chamber to provide a seal with the chamber wall and the lid. The lid is configured to open for removal of the substrate and close to process the substrate. A purge line for delivering purge gas is coupled to one or more purge gas delivery line sources in the processing chamber, and the purge gas delivery line sources are disposed between the o-ring and the one or more process gas delivery sources.
    Type: Application
    Filed: November 1, 2012
    Publication date: December 19, 2013
    Applicant: QUALCOMM MEMS TECHNOLOGIES, INC.
    Inventor: Teruo Sasagawa
  • Publication number: 20130337169
    Abstract: A heat-dissipation unit coated with oxidation-resistant nano thin film includes a metal main body having a heat-absorbing portion and a heat-dissipating portion, both of which are coated with at least a nano metal compound thin film. To form the nano metal compound thin film on the heat-dissipation unit, first form at least a nano compound coating on an outer surface of the heat-dissipation unit, and then supply a reduction gas into a high-temperature environment to perform a heat treatment and a reduction process on the heat-dissipation unit and the nano compound coating thereof, and finally, a nano metal compound thin film is formed on the surface of the heat-dissipation unit after completion of the heat treatment and the reduction process. With the nano metal compound thin film, the heat-dissipation unit is protected against formation of oxide on its surface and accordingly against occurrence of increased thermal resistance thereof.
    Type: Application
    Filed: August 20, 2013
    Publication date: December 19, 2013
    Applicant: Asia Vital Components Co., Ltd.
    Inventor: Ying-Tung Chen
  • Publication number: 20130337186
    Abstract: The present invention provides a reactor and a method for the production of high purity silicon granules. The reactor includes a reactor chamber; and the reaction chamber is equipped with a solid feeding port, auxiliary gas inlet, raw material gas inlet, and exhaust gas export. The reaction chamber is also equipped with an internal gas distributor; a heating unit; an external exhaust gas processing unit connected between a preheating unit and a gas inlet. The reaction chamber is further equipped with a surface finishing unit, a heating unit and a dynamics generating unit. The reaction is through decomposition of silicon-containing gas in densely stacked high purity granular silicon layer reaction bed in relative motion, and to use remaining heat of exhaust gas for reheating. The present invention achieves a large scale, efficient, energy saving, continuous, low cost production of high purity silicon granules.
    Type: Application
    Filed: August 17, 2013
    Publication date: December 19, 2013
    Inventor: Xi Chu
  • Patent number: 8609442
    Abstract: A coating film (90) is formed by causing vapor deposition particles (91) discharged from a vapor deposition source opening (61) of a vapor deposition source (60) to pass through a space between a plurality of control plates (81) of a control plate unit (80) and a mask opening (71) of a vapor deposition mask in this order and adhere to a substrate, while the substrate (10) is moved relative to the vapor deposition mask (70) in a state in which the substrate (10) and the vapor deposition mask (70) are spaced apart at a fixed interval. A difference in the amount of thermal expansion between the vapor deposition source and the control plate unit is detected and corrected. It is thereby possible to form, at a desired position on a large-sized substrate, the coating film in which edge blur and variations in the edge blur are suppressed.
    Type: Grant
    Filed: October 11, 2011
    Date of Patent: December 17, 2013
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Satoshi Inoue, Shinichi Kawato, Tohru Sonoda
  • Patent number: 8609193
    Abstract: A method for ionic polymerization of ethylene oxide. In the first step of the method, a gaseous monomer composition comprising ethylene oxide at a first flow rate is mixed with a gaseous ionic polymerization initiator at a second flow rate, thereby forming a mixture. The formed mixture is then heated with at least one heated filament to thereby form at least one polymer. The method may also be employed to coat a variety of different substrates in situ during the polymerization reaction.
    Type: Grant
    Filed: March 17, 2010
    Date of Patent: December 17, 2013
    Assignee: Drexel University
    Inventors: Kenneth K. S. Lau, Ranjita K. Bose
  • Patent number: 8609192
    Abstract: A method for guaranteeing the oxidation of a strip designed to prevent the selective oxidation of alloy elements of the steel in a continuous steel strip galvanizing annealing furnace having a pre-heating section and a hold section and provided only with radiant tubes. The oxidation of the strip is designed to prevent the selective oxidation of elements of the steel alloy. The novel method includes the following steps: installation of at least one modified tube capable of injection an oxidizing medium at least one point in the oven heating section and/or at least one point in the hold section and injection of the oxidizing medium by means of the modified tube(s), the oxidizing medium having a composition such that in the conditions of the temperature of the oxidizing medium and the steel strip and as a function of the chemical composition of the strip said medium has a dew point which guarantees an in-depth oxidation of the alloy elements of the steel strip.
    Type: Grant
    Filed: July 4, 2008
    Date of Patent: December 17, 2013
    Assignee: Siemens VAI Metals Technologies SAS
    Inventor: Pierre-Jérôme Borrel
  • Publication number: 20130330137
    Abstract: One example of the invention relates to an indexable insert comprising a base that has flanks and at least one face. According to one example of the invention, primarily the face(s) of the indexable insert, but not the flanks thereof, are coated with a very thick layer (e.g. thicker than 50 ?m) of a hard material in a PVD process.
    Type: Application
    Filed: October 4, 2011
    Publication date: December 12, 2013
    Applicant: MSM KRYSTALL GBR
    Inventor: Arno Mecklenburg
  • Publication number: 20130330472
    Abstract: A substrate-conveying roller 6A is configured to convey a substrate under vacuum, and includes a first shell 11, an internal block 12, and a shaft 10. The first shell 11 has a cylindrical outer circumferential surface for supporting the substrate, and can rotate in synchronization with the substrate. The internal block 12 is disposed inside the first shell 11, and is blocked from rotating in synchronization with the substrate. The shaft 10 extends through, and supports the internal block 12. A clearance 15 is formed between the inner circumferential surface of the first shell 11 and the internal block 12. A gas is introduced into the clearance 15 from the internal block 12 toward the inner circumferential surface of the first shell 11.
    Type: Application
    Filed: April 25, 2012
    Publication date: December 12, 2013
    Applicant: PANASONIC CORPORATION
    Inventors: Kazuyoshi Honda, Sadayuki Okazaki, Noriaki Amo, Noriyuki Uchida, Daisuke Suetsugu
  • Patent number: 8603580
    Abstract: A method for vaporizing a liquid for subsequent thin film deposition on a substrate. The method comprises vaporizing a liquid which is disposed within a tubular porous metal body. The porous metal body comprises a first surface defining a first carrier gas flow path and a second surface defining a second carrier gas flow path in a substantially opposite direct to the first carrier flow path. Vapor is generated from the liquid and added to a carrier gas that passes sequentially in direct contact along the first and second surfaces of the porous metal body to form a gas/vapor mixture with the carrier gas first flowing along the first surface and then along the second surface thereby providing a gas/vapor mixture for thin film deposition.
    Type: Grant
    Filed: November 28, 2006
    Date of Patent: December 10, 2013
    Assignee: MSP Corporation
    Inventor: Benjamin Y. H. Liu
  • Patent number: 8603575
    Abstract: A thin-film absorber formation method includes: operating a furnace having an internal atmosphere containing a process gas; loading process gas material into a source container that is part of a system configured to provide process gas to the furnace, wherein loading of the source container occurs without exposing the internal atmosphere of the furnace to external atmosphere present in the source container by solidifying process gas material to form a gas tight seal to separate the source container from the furnace, wherein the seal is formed before the source container is opened for loading and external atmosphere enters the source container, including a pathway configured to retain process gas material in a section of the pathway to solidify and create the seal.
    Type: Grant
    Filed: October 6, 2011
    Date of Patent: December 10, 2013
    Assignee: Nanosolar, Inc.
    Inventor: Sam Kao
  • Publication number: 20130323420
    Abstract: Apparatus for atomic layer deposition on a surface of a substrate, the apparatus comprising: a deposition member; a substrate table for supporting the substrate; a first reactant injector for supplying a first reactant; a second reactant injector for supplying a second reactant; a gas injector being arranged for creating, by means of gas injected by the gas injector, a gas barrier and optionally being arranged for creating a gas bearing; a heater for heating the gas that is to be injected by the gas injector; and an additional heater for heating the deposition member and the substrate table, and for heating the substrate. The deposition member has a gas inlet for the gas that is to be injected by the gas injector. The heater is provided outside the deposition member. The gas transported from the gas inlet is heated by the heater before said gas enters the gas inlet.
    Type: Application
    Filed: October 12, 2011
    Publication date: December 5, 2013
    Applicant: Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO
    Inventors: Raymond Jacobus Wilhelmus Knaapan, Paulus Willibrordus George Poodt, Jeroen Anthonius Smeltink, Ruud Olieslagers, Oleksiy Sergiyovich Galaktinono
  • Publication number: 20130319942
    Abstract: A flexible diffuser membrane used in aeration/mixing of wastewater is impregnated with biocide and treated with fluorine or another halogen gas which forms a barrier inhibiting migration of the biocide out of the membrane. Another aspect of the invention involves cleaning a diffuser membrane with a halogen and then coating the membrane with PTFE or polyurethane.
    Type: Application
    Filed: May 29, 2012
    Publication date: December 5, 2013
    Inventors: Charles E. Tharp, Randall C. Chann, Warrick S. Wadman, David A. Capron
  • Publication number: 20130323421
    Abstract: This is to provide a film forming method, etc., which can form a film containing a high concentration of an impurity under atmospheric pressure efficiently without using a harmful and poisonous gas. The film forming method is constituted by heating a solid source such as boron and phosphorus pentaoxide, etc., and evaporating to generate a gas, and the obtained gas is jetted to the surface(s) of a preheated substrate to form a film.
    Type: Application
    Filed: February 14, 2012
    Publication date: December 5, 2013
    Applicant: SANKEI ENGINEERING CO., LTD
    Inventors: Koji Honma, Hitoshi Inuzuka
  • Patent number: 8597731
    Abstract: A diamond layer can be applied stably onto a graphite substrate in a CVD process when the graphite substrate is subjected to the following pretreatment steps before the CVD process: fine cleaning of the surface in a vacuum at a temperature >500° C., preferably >800° C., in an etching gas atmosphere, mechanical removal of loose particles, seeding of the substrate surface with very small diamond particles and at least one degassing treatment in a vacuum to remove adsorbed hydrocarbons and adsorbed air at a temperature T>500° C., preferably T>700° C.
    Type: Grant
    Filed: December 18, 2008
    Date of Patent: December 3, 2013
    Assignee: Condias GmbH
    Inventors: Matthias Fryda, Thorsten Matthee, Shane Mulcahy
  • Publication number: 20130316079
    Abstract: The invention relates to an apparatus (1) for coating a surface (21) of a substrate (20). The apparatus comprises a processing chamber (2) with a particle source (3) for producing coating particles (19), which are also deposited on the inner wall (5) of the processing chamber (2) and on shielding apparatuses (4?) arranged therein during operation, in addition to the desired coating of the substrate surface. As the operating time increases, the layer thickness of these deposits (6) grows until the latter undergo spalling, which can lead to contamination of the substrate surfaces to be coated. In order to prevent this, shielding screens (10, 10?) are arranged on the inner wall (5) of the processing chamber (2) and/or on the shielding apparatuses (4?) and prevent deposits (6, 7) which undergo spalling from passing into the interior (17) of the processing chamber (2). The shielding screens (10, 10?) consist preferably of an expanded metal.
    Type: Application
    Filed: October 21, 2011
    Publication date: November 28, 2013
    Applicant: LEYBOLD OPTICS GMBH
    Inventors: Jens Ellrich, Emmerich Manfed Novak, Andreas Caspari
  • Publication number: 20130316095
    Abstract: The invention relates to a retaining device for substrates to be coated, which device comprises a contact surface for the substrate to be coated. The retaining device is for example configured as a plate on which the substrate rests and which has one or more apertures, e.g. drilled holes, grooves etc. has, through which a pressure gradient can be set between the face of the substrate and the opposite face of the retaining device. In this way a temporary fixing of the substrate by suction onto the retaining device is possible. The invention also relates to a method for coating a substrate which uses the retaining device according to the invention.
    Type: Application
    Filed: December 19, 2011
    Publication date: November 28, 2013
    Applicant: FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V.
    Inventors: Stefan Reber, Norbert Schillinger, Martin Arnold, David Pocza
  • Patent number: 8590338
    Abstract: An evaporator includes a vaporization chamber having a monomer inlet and a vapor outlet. There is a vapor nozzle in the vapor outlet. The evaporator also includes a collar positioned between the vaporization chamber and the vapor nozzle which increases the pressure in the evaporation chamber while the conductance through the nozzle is substantially unchanged.
    Type: Grant
    Filed: December 31, 2009
    Date of Patent: November 26, 2013
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Damien Boesch, Martin Rosenblum
  • Patent number: 8591992
    Abstract: The invention relates to a method for forming a protective coating against high-temperature oxidation on a surface of a refractory composite material based on silicon and niobium, wherein chromium present on the surface to be protected is reacted with a reactive gas which contains silicon and oxygen in order to produce a composite coating having two phases, a first phase of which is an oxide phase based on silica which has viscoplastic properties and a second phase of which is based on silicon, chromium and oxygen, and wherein the first phase and second phase are coalesced at high temperature, which allows a protective coating to be formed in which the second phase acts as a reservoir to reform, during operation, the first phase by means of reaction with an oxidising gas. The invention is preferably used in the field of aeronautical engines.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: November 26, 2013
    Assignee: ONERA (Office National d'Etudes et de Recherches Aérospatiales
    Inventors: Marie-Pierre Bacos, Pierre Josso
  • Patent number: 8592606
    Abstract: The present invention is related to a family of liquid group 4 precursors represented by the formula: (pyr*)M(OR1)(OR2)(OR3) wherein pyr* is an alkyl substituted pyrrolyl, wherein M is group 4 metals include Ti, Zr, and Hf; wherein R1-3 can be same or different and selected from group consisting of linear or branched C1-6 alkyls; preferably C1-3 alkyls; R4 is selected from the group consisting of C1-6 alkyls, preferably branched C3-5 alkyls substituted at 2, 5 positions to prevent the pyrrolyl coordinated to the metal center in ?1 fashion; n=2, 3, 4. Most preferably the invention is directed to (2,5-di-tert-butylpyrrolyl)(tris(ethoxy)titanium, (2,5-di-tert-amylpyrrolyl)(tris(ethoxy)titanium, and (2,5-di-tert-amylpyrrolyl)(tris(iso-propoxy)titanium. The invention is also directed to (cyclopentadienyl)(2,5-di-methylpyrrolyl)(bis(ethoxy))titanium. Deposition methods using these compounds are also contemplated.
    Type: Grant
    Filed: November 19, 2010
    Date of Patent: November 26, 2013
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Xinjian Lei, John Anthony Thomas Norman, Daniel P. Spence
  • Patent number: 8592004
    Abstract: A film deposition method deposits a film on a surface of a substrate in strip form traveling on a peripheral surface of a cylindrical drum in at least one film deposition compartment around the peripheral surface of the drum. The method disposes previously a differential compartment between one film deposition compartment and a compartment including a wrapping space containing at least one of a first position at which the substrate starts to travel on the drum and a second position at which the substrate separates from the drum, the differential compartments communicating with the compartment including the wrapping space and the film deposition compartment, sets a first pressure of the wrapping space lower than a second pressure of the at least one film deposition compartment and performs film deposition in the film deposition compartment with electric power supplied to the drum.
    Type: Grant
    Filed: September 13, 2010
    Date of Patent: November 26, 2013
    Assignee: Fujifilm Corporation
    Inventors: Tatsuya Fujinami, Shinsuke Takahashi, Jun Fujinawa, Kouji Tonohara
  • Patent number: 8591989
    Abstract: A method for forming an SiCN film on target substrates placed in a process field inside a process container repeats a unit cycle a plurality of times to laminate thin films respectively formed, thereby forming the SiCN film with a predetermined thickness. The unit cycle includes performing and suspending supply of a silicon source gas, a nitriding gas, and a carbon hydride gas respectively from first, second, and third gas distribution nozzles to the process field. The unit cycle does not turn any one of the gases into plasma but heats the process field to a set temperature of 300 to 700° C. with the supply of the carbon hydride gas performed for a time period in total longer than that of the supply of the silicon source gas, so as to provide the SiCN film with a carbon concentration of 15.2% to 28.5%.
    Type: Grant
    Filed: July 19, 2012
    Date of Patent: November 26, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Pao-Hwa Chou, Kazuhide Hasebe
  • Publication number: 20130306142
    Abstract: Sputtered zinc oxide layer is used to improve and control the crystalline properties of a molybdenum back contact used in photovoltaic cells. Optimum thicknesses for the zinc oxide layer are identified.
    Type: Application
    Filed: February 3, 2012
    Publication date: November 21, 2013
    Applicant: PILKINGTON GROUP LIMITED
    Inventor: Neil Mcsporran
  • Publication number: 20130309402
    Abstract: The invention provides methods and apparatus for supporting a substrate in a chemical vapor deposition reactor, and methods and apparatus for synthesizing large area thin films. The invention provides a substrate support assembly comprising at least two interdigitable substrate support fixtures, each fixture carrying at least one finger-like formation for engaging and positioning the substrate during the deposition process that creates the thin film. When two such fixtures are interdigitated, the substrate may be positioned not only in between and around the finger-like substrate engagement members, but also on the outside of each fixture, thus achieving a many-fold increase in the effective width of the substrate.
    Type: Application
    Filed: May 18, 2012
    Publication date: November 21, 2013
    Inventors: Xuesong Li, Yu-Ming Lin, Chun-Yung Sung
  • Publication number: 20130309401
    Abstract: An atomic layer deposition apparatus that forms a thin film on a substrate, the atomic layer deposition apparatus includes: a deposition vessel in which a source gas supply port and a reactant gas supply port are formed; a source gas supply part operable to supply the source gas to the source gas supply port and that includes a liquid source storage part and a vaporization controller, the liquid source storage part storing a liquid source that is a source material of the thin film, and the vaporization controller controlling a flow rate by directly vaporizing the liquid source stored in the liquid source storage part; a reactant gas supply part operable to supply a reactant gas to the reactant gas supply port, the reactant gas reacting with the source gas to form the thin film; a controller operable to control the source gas supply part and the reactant gas supply part to supply the source gas and the reactant gas alternately; a screen plate that is disposed such that the source gas supplied from the source g
    Type: Application
    Filed: February 10, 2012
    Publication date: November 21, 2013
    Applicant: Mitsui Engineering & Shipbuilding Co., Ltd.
    Inventor: Naomasa Miyatake
  • Patent number: 8586142
    Abstract: The present invention relates to a method for producing small structures includes: depositing a mask on a surface of a substrate; and evaporating a source material under such evaporation condition performed at such pressure to form a layer onto both a shadowed surface area and a non-shadowed surface area of the mask and the substrate.
    Type: Grant
    Filed: September 21, 2007
    Date of Patent: November 19, 2013
    Assignee: Fujirebio Inc.
    Inventors: Michael Himmelhaus, Oliver Worsfold, Conor D. Whitehouse
  • Patent number: 8586140
    Abstract: A film formation method for forming a metal oxide film includes loading a target object into a process container configured to maintain a vacuum therein; supplying a film formation source material into the process container; supplying an oxidizing agent into the process container; and causing the film formation source material and the oxidizing agent to react with each other, thereby forming a metal oxide film on the target object. The film formation source material is an organic metal compound containing a metal of the metal oxide film and prepared by mixing a first organic metal compound that is solid at room temperature and has a higher vapor pressure with a second organic metal compound that is liquid at room temperature such that the organic metal compound is liquid at room temperature.
    Type: Grant
    Filed: July 7, 2011
    Date of Patent: November 19, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Haruhiko Furuya
  • Patent number: 8586139
    Abstract: An object of the present invention is to simplify the process of producing an electrode composite material. Disclosed is a method for producing an electrode composite material, comprising the steps of: preparing a material comprising Li, La, Ti and O and heating the material, wherein the composition ratio between Li, La and Ti of the material is in the range of a triangle having three vertices at LiO0.5:LaO1.5:TiO2=23:24:53, LiO0.5:LaO1.5:TiO2=5:36:59 and LiO0.5:LaO1.5:TiO2=8:28:64 in the LiO0.5—LaO1.5—TiO2 triangular diagram.
    Type: Grant
    Filed: November 29, 2011
    Date of Patent: November 19, 2013
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventors: Chihiro Yada, Brian E. Hayden, Duncan C. A. Smith, Christopher E. Lee
  • Publication number: 20130301028
    Abstract: A substrate table comprising a base and a plurality of burls that project from the base, wherein an upper surface of the burls is provided with a multilayer coating.
    Type: Application
    Filed: November 17, 2011
    Publication date: November 14, 2013
    Applicant: ASML Netherlands B.V.
    Inventors: Bensely Albert, Rene Theodorus, Petrus Compen
  • Publication number: 20130294996
    Abstract: A method for treating substrates to render them hydrophobic includes penetrating the substrate with a halosilane vapor.
    Type: Application
    Filed: January 5, 2012
    Publication date: November 7, 2013
    Applicant: Dow Corning Corporation
    Inventor: Leon Neal Cook
  • Publication number: 20130295298
    Abstract: Disclosed are titanium-tetrahydroaluminates precursors, their method of manufacture, and their use in the deposition of titanium-aluminum-containing films. The disclosed precursors have the formulae Ti(AlH4)3—X, Ti(AlH4)2L and Ti(AlH4)L2. The disclosed precursors may be used to deposit pure titanium-aluminum (TiAl), titanium-aluminum nitride (TiAlN), titanium-aluminum carbide (TiAlC), titanium-aluminum carbonitride (TiAlCN), titanium-aluminum silicide ((TiAl)Si), titanium-aluminum siliconitride ((TiAl)SiN), titanium-aluminum boron ((TiAl)B), titanium-aluminum boron nitride ((TiAl)BN), or titanium-aluminum oxide (TiAlO). or any other titanium-aluminum-containing films. The titanium-aluminum-containing films may be deposited using the disclosed precursors in thermal and/or plasma-enhanced CVD, ALD, pulse CVD or any other type of depositions methods.
    Type: Application
    Filed: February 28, 2013
    Publication date: November 7, 2013
    Applicant: L'Air Liquide, Societe Anonyme Pour I'Etude et I'Exploitation des Procedes Georges Claude
    Inventor: L'Air Liquide, Societe Anonyme Pour I'Etude et I'Exploitation des Procedes Georges Claude
  • Patent number: 8574671
    Abstract: A method for adjusting the coolant consumption within actively cooled components is produced. The components include an interior with at least one duct with different regions which have different cross sections of flow. A greater increase in the wall thickness is produced in the region having the smaller cross section of flow by a first diffusion process and a lesser increase in the wall thickness is produced in the region having the larger cross section of flow by a second diffusion process which is different from the first. By using different diffusion coatings in a component, it is possible to adjust the flow of coolant through a component in a controlled way.
    Type: Grant
    Filed: January 17, 2012
    Date of Patent: November 5, 2013
    Assignee: Siemens Aktiengesellschaft
    Inventors: Fathi Ahmad, Knut Haberstadt, Christian Lerner
  • Patent number: 8574675
    Abstract: A method of forming a ruthenium-containing film in a vapor deposition process, including depositing ruthenium with an assistive metal species that increases the rate and extent of ruthenium deposition in relation to deposition of ruthenium in the absence of such assistive metal species. An illustrative precursor composition useful for carrying out such method includes a ruthenium precursor and a strontium precursor in a solvent medium, wherein one of the ruthenium and strontium precursors includes a pendant functionality that coordinates with the central metal atom of the other precursor, so that ruthenium and strontium co-deposit with one another. The method permits incubation time for ruthenium deposition on non-metallic substrates to be very short, thereby accommodating very rapid film formation in processes such as atomic layer deposition.
    Type: Grant
    Filed: March 17, 2010
    Date of Patent: November 5, 2013
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Jorge A. Lubguban, Jr., Thomas M. Cameron, Chongying Xu, Weimin Li
  • Publication number: 20130287967
    Abstract: A method of making a golf ball with a superhydrophobic coating is disclosed. A superhydrophobic coating may increase the flight distance achieved by the golf ball. Furthermore, providing a golf ball with a superhydrophobic coating may help keep moisture off the surface of the golf ball. The superhydrophobic coating may include one of tetrafluoromethane (CF4), hexafluoromethane (C2F6), or octafluoropropane (C3F8). The method may include a plasma enhanced chemical vapor deposition process.
    Type: Application
    Filed: April 30, 2012
    Publication date: October 31, 2013
    Applicant: NIKE, INC.
    Inventor: Takahisa Ono
  • Publication number: 20130287947
    Abstract: A deposition apparatus includes one or more evaporation sources each of which includes a container comprising an opening and configured to hold a source material, a source heater adjacent to and in thermal communication with the container, wherein the source heater is configured to elevate temperature of the source material to produce a vapor of the source material, and a source enclosure that encloses the container and the source heater. The source enclosure includes a vent configured to direct the vapor of the source material towards a substrate. The deposition apparatus includes also a plurality of substrate heaters in thermal communication with the substrate. The substrate includes a deposition surface configured to receive deposition of the source material by condensing the vapor. The plurality of substrate heaters can heat different portions of the substrate to different temperatures.
    Type: Application
    Filed: April 1, 2013
    Publication date: October 31, 2013
    Applicant: AREESYS CORPORATION
    Inventors: Kai-An Wang, Albert Ting, Enhao Lin, Michael Wong
  • Patent number: 8568828
    Abstract: A tin-cadmium oxide film having an amorphous structure and a ratio of tin atoms to cadmium atoms of between 1:1 and 3:1. The tin-cadmium oxide film may have an optical band gap of between 2.7 eV and 3.35 eV. The film may also have a charge carrier concentration of between 1×1020 cm?3 and 2×1020 cm?3. The tin cadmium oxide film may also exhibit a Hall mobility of between 40 cm2V?1 s?1 and 60 cm2V?1 s?1. Also disclosed is a method of producing an amorphous tin-cadmium oxide film as described and devices using same.
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: October 29, 2013
    Assignee: Alliance for Sustainable Energy, LLC
    Inventors: Xiaonan Li, Timothy A. Gessert
  • Patent number: 8571817
    Abstract: One disclosed feature of the embodiments is a control processor in a vapor delivery system for chemical vapor deposition precursors. A pressurization rate processor calculates first and second pressurization rate curves at first and second time instants. A volume calculator computes consumed volume based on first and second volumes at the respective first and second time instants. The first and second volumes are computed using slopes of lines fitting the first and second pressurization rate curves.
    Type: Grant
    Filed: September 10, 2008
    Date of Patent: October 29, 2013
    Assignee: Palo Alto Research Center Incorporated
    Inventors: David P. Bour, Christopher L. Chua, Zhihong Yang
  • Publication number: 20130277550
    Abstract: A sampling cone of a mass spectrometer is disclosed having a metallic boride coating such as titanium diboride.
    Type: Application
    Filed: June 20, 2013
    Publication date: October 24, 2013
    Inventors: Gordon A. Jones, David S. Douce, Amir Farooq
  • Publication number: 20130280441
    Abstract: A deposition source with uniform deposition characteristics includes a crucible in which a deposition material is disposed; a heat transfer member disposed on upper portions of the deposition material in the crucible; and an accommodation member for accommodating the heat transfer member and including a mesh plate.
    Type: Application
    Filed: June 20, 2013
    Publication date: October 24, 2013
    Inventors: Hye-Yeon Shim, Chang-Soon Ji, Jong-Woo Lee, Do-Sung Kwon