Integrated Circuit Design Processing Patents (Class 716/100)
  • Patent number: 8560982
    Abstract: A method of integrated circuit design using through silicon vias (TSVs) can include determining that a stress field to which a first active circuit element of a circuit block is exposed and a stress field to which a second active circuit element of the circuit block is exposed are mismatched. Mismatch between the stress field of the first active circuit element and the stress field of the second active circuit element can be reduced by modifying a layout of the die for a TSV.
    Type: Grant
    Filed: June 27, 2011
    Date of Patent: October 15, 2013
    Assignee: Xilinx, Inc.
    Inventor: Arifur Rahman
  • Patent number: 8561004
    Abstract: A power gate includes a series of electrical contacts along at least a portion of an integrated circuit and a series of power gate transistors electrically coupled to the electrical contacts on the integrated circuit to form a power gate boundary, e.g., at the integrated circuit periphery. The electrical contacts along at least a portion of a running length of the power gate boundary define a substantially non-linear profile. The non-linear profile provides increased contact density which improves current balancing across the electrical contacts and current throughput through the power gate. The non-linear profile is a sinusoidal or zigzag pattern with intermediate offset bump contacts. The contact profiles along the power gate boundary can include both linear and non-linear profiles.
    Type: Grant
    Filed: April 12, 2010
    Date of Patent: October 15, 2013
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Stephen V. Kosonocky
  • Patent number: 8560981
    Abstract: A method of parsing integrated circuit layout design data. According to some implementations, the segment boundaries are designated by first identifying data in the integrated circuit layout design data that matches a cell record start value. Next, the subsequent data is parsed, until a threshold amount of subsequent data has been parsed without identifying another cell record start value. When the threshold amount of subsequent data has been parsed without identifying another cell record start value, the next data in the integrated circuit layout design data matching a cell record start value is designated as a segment boundary. Integrated circuit layout design data can be segmented sequentially, or by using dyadic division. Once the integrated circuit layout design data has been broken up into segments, the segments can be provided to a parallel processing computing system for parsing in parallel.
    Type: Grant
    Filed: December 8, 2008
    Date of Patent: October 15, 2013
    Assignee: Mentor Graphics Corporation
    Inventor: Emile Y. Sahouria
  • Patent number: 8560986
    Abstract: A computer-readable medium stores therein a correcting program that causes a computer to execute a process. The process includes decomposing a correction subject assertion, based on a logical structure of the correction subject assertion; detecting by simulation of a circuit-under-test and from among properties obtained by decomposing the correction subject assertion, a property that has failed; concatenating to the detected property and by logical OR, a failure source; and outputting the concatenated property.
    Type: Grant
    Filed: May 3, 2012
    Date of Patent: October 15, 2013
    Assignee: Fujitsu Limited
    Inventor: Matthieu Parizy
  • Patent number: 8555234
    Abstract: An efficient method for selecting a minimal and statistically relevant set of SER sensitive logic devices critical to the SER robustness for a design, through identification by device type, identification nomenclature, connectivity and context. The minimal set of devices comprise the set of fault injection test points using a conventional fault injection test verification environment to establish an SER induced failure rate a logic design. The selection method affords a design independent means to evaluate any design regardless of the origin, source language or documentation by working at the common logic device level “gate-level” netlist format for the design data. The selected set of devices is distilled from the design data by successively filtering the design through a series of heuristic rule-based device identifier computer programs that group and annotate the devices into specific database records.
    Type: Grant
    Filed: September 3, 2009
    Date of Patent: October 8, 2013
    Assignee: International Business Machines Corporation
    Inventors: Robert Brett Tremaine, Mark Anthony Check, Pia N Sanda, Prabhakar Nandavar Kudva
  • Patent number: 8555217
    Abstract: In one embodiment, an integrated circuit design tool is provided that includes a main window graphical user interface (GUI) and several tool GUIs. Cross probing of features from a source tool GUI to a target tool GUI occurs by the source tool GUI transmitting a probe request to the main window GUI; wherein the probe request identifies one or more cross-probed features for the target tool GUI. In response, the main window GUI commands a plug-in installation of the target tool GUI if the target tool GUI has not yet been instantiated. The main window GUI transmits a notification of the probe request to the target tool GUI. In response, the target tool GUI displays the cross-probed features.
    Type: Grant
    Filed: June 20, 2011
    Date of Patent: October 8, 2013
    Assignee: Lattice Semiconductor Corporation
    Inventors: James Khong, Xiaoming Ma, Justin Wu
  • Patent number: 8555216
    Abstract: A design structure for an electrically tunable resistor. In one embodiment, the design structure is embodied in a machine readable medium for designing, manufacturing, or testing an integrated circuit, and includes a resistor including: a first resistive layer; at least one second resistive layer; and an intermediate interdiffused layer of the first resistive layer and the at least one second resistive layer.
    Type: Grant
    Filed: April 10, 2008
    Date of Patent: October 8, 2013
    Assignee: International Business Machines Corporation
    Inventors: Icho E. T. Iben, Alvin W. Strong
  • Patent number: 8555221
    Abstract: A circuit design is partitioned for hardware-accelerated functional verification using a directed hypergraph with edge weights that are a function of slack. Slack may be computed as the difference between the early and late ranks for the source of an edge. The weight may further be computed as the difference between the edge's slack and a maximum slack value. In a preferred implementation each vertex also has multiple weights associated with resource requirements of different node types, and the partitioning is constrained to prevent vertex movement that would result in vertex weights for a given partition exceeding a partition resource capacity based on the accelerator architecture. Edge and vertex weights can be recomputed for the next level of partitioning. The partitioning process can be repeatedly iteratively until a termination criterion is met, the termination criterion being based in part on the number of directed cuts in each of the partitions.
    Type: Grant
    Filed: August 20, 2012
    Date of Patent: October 8, 2013
    Assignee: International Business Machines Corporation
    Inventors: Michael D. Moffitt, Matyas A. Sustik, Paul G. Villarrubia
  • Patent number: 8555212
    Abstract: Techniques are disclosed for modifying an existing microdevice design to improve its manufacturability. With these techniques, a designer receives manufacturing criteria associated with data in a design. The associated design data then is identified and provided to the microdevice designer, who may choose to modify the design based upon the manufacturing criteria. In this manner, the designer can directly incorporate manufacturing criteria from the foundry in the original design of the microdevice.
    Type: Grant
    Filed: December 12, 2008
    Date of Patent: October 8, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Joseph D Sawicki, Laurence W Grodd, John G Ferguson, Sanjay Dhar
  • Patent number: 8549447
    Abstract: Methods and/or associated devices and/or systems for creating integrated circuits (IC's) that have multiple connected I/O regions that can be designed and implemented using commonly available standard I/O libraries in conjunction with standard IC design flows and tools and in combination with one or more novel standardized I/O region interconnect cells for interconnecting between or through otherwise separated I/O regions. Specific embodiments support a wide variety of IC's that can be developed using standard libraries and design flows including: application specific integrated circuits (ASIC's), programmable logic devices (PLDs), custom IC's, analog IC's, CPU's, GPU's, and other IC's that require large numbers of input/ouput (IO) circuits while having relatively small core circuitry areas. Specific embodiments may involve innovative I/O cell functions, innovative IC topologies, and innovative IC packaging solutions for single die packages and multiple die packages.
    Type: Grant
    Filed: April 24, 2010
    Date of Patent: October 1, 2013
    Inventor: Robert Eisenstadt
  • Patent number: 8549463
    Abstract: A die expansion bus efficiently couples a supplemental portion of a processing system to an original portion of the processing system on a die. The die expansion bus couples bus subsystems of the supplemental portion of the processing system to the bus subsystems of the original portion of the processing system. The original portion of the processing system is arranged to control the data resources of the supplemental portion of the processing system by accessing memory mapped control registers associated with the bus subsystems of the supplemental portion of the processing system.
    Type: Grant
    Filed: September 29, 2011
    Date of Patent: October 1, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Agarwala Sanjive
  • Patent number: 8549444
    Abstract: Impedance control, and the uniformity of electrical and mechanical characteristics in electronic packaging are becoming more important as chip and bus speeds increase and manufacturing processes evolve. Current state of the art design and manufacture processes inherently introduce physical dielectric thickness variations into multilayer cross sections. These thickness variations between the ground reference plane(s) and the signal layer(s) inject undesirable characteristic impedance variations and undesirable mechanical variations in thickness and surface topology. Therefore a multilayer structure and a method of manufacture are presented.
    Type: Grant
    Filed: April 11, 2008
    Date of Patent: October 1, 2013
    Assignee: International Business Machines Corporation
    Inventors: John Richard Dangler, Matthew Stephen Doyle
  • Patent number: 8549459
    Abstract: In one embodiment of the invention, an object oriented autorouter is disclosed for routing nets in a circuit. The object oriented autorouter includes a routing data model (RDM); at least one routing engine, such as a single connection router (SCR), a topographical (TOPO) transformation engine, and a detail geometric (DETAIL) engine, and a command and control module (CCM) coupled together. The RDM reads and write data with a design database as well as reading one or more object oriented design constraints. Each of the routing engines have at least one action to operate on the design database to improve compliance of the circuit to a constraint. The CCM controls the overall routing process of the nets in the circuit and includes at least one director to invoke at least one of the routing engines to achieve compliance with one or more constraints.
    Type: Grant
    Filed: July 13, 2010
    Date of Patent: October 1, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ken Wadland, Sean Bergan, Charles W. Grant, Glendine Kingsbury, Randall Lawson, Jelena Radumilo-Frankilin, Kota Sujan Reddy, Steve Russo, William Schilp, Davis Tsai, Keith Woodward, Richard Woodward, Jia Wu
  • Patent number: 8543962
    Abstract: A method for identifying and removing redundant signal paths includes determining whether a given input to a logic circuit is coupled to both an input of a cone of logic of a data input of a clocked state element and a clock gate circuit that is coupled to disable a clock input to the clocked state element. The method may include removing the given input from the cone of logic such that the given input is no longer coupled to the input of the cone of logic responsive to determining that the given input is coupled to both the input of the cone of logic and the clock gate circuit. The method may include preserving the given input to the clock gate circuit such that the given input continues to be coupled to the clock gate circuit after being removed from the input of the cone of logic.
    Type: Grant
    Filed: November 13, 2012
    Date of Patent: September 24, 2013
    Assignee: Apple Inc.
    Inventor: Ben D. Jarrett
  • Patent number: 8543948
    Abstract: Exemplary embodiments describe a design structure embodied in a machine readable storage medium for designing, manufacturing, and/or testing a design for performing the functions of a PCI Express feature card remotely from a data processing system. The system is comprised of a circuit board connected to a PCI-E feature card. The PCI-E feature card is remotely located in comparison to the circuit board. Architecturally, the PCI-E feature card appears to the circuit board to be located at the circuit board.
    Type: Grant
    Filed: April 30, 2008
    Date of Patent: September 24, 2013
    Assignee: Toshiba Global Commerce Solutions Holdings Corporation
    Inventors: John D. Landers, Jr., David J. Steiner
  • Publication number: 20130242627
    Abstract: High voltage diode-connected gallium nitride high electron mobility transistor structures or Schottky diodes are employed in a network including high-k dielectric capacitors in a solid state, monolithic voltage multiplier. A superjunction formed by vertical p/n junctions in gallium nitride facilitates operation of the high electron mobility transistor structures and Schottky diodes. A design structure for designing, testing or manufacturing an integrated circuit is tangibly embodied in a machine-readable medium and includes elements of a solid state voltage multiplier.
    Type: Application
    Filed: March 13, 2012
    Publication date: September 19, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Stephen W. Bedell, Bahman Hekmatshoartabari, Devendra K. Sadana, Ghavam G. Shahidi, Davood Shahrjerdi
  • Patent number: 8539409
    Abstract: Two (or more) different, but complementary, families of integrated circuits having the same layout are developed simultaneously where the different families are achieved by changing one or more design parameters of transistors used to implement the integrated circuits. For example, a low-power (but low-speed) family of one or more ICs (e.g., for handheld applications) can be achieved by designing at least some transistors with relatively high threshold-voltage (Vt) levels, while a different, but complementary, high-speed (but high-power) family of one or more ICs (e.g., for server applications) can be achieved by designing corresponding transistors with relatively low Vt levels. In this way, the two families can share in common all but a very few masks used to fabricate the ICs of the different families.
    Type: Grant
    Filed: July 8, 2011
    Date of Patent: September 17, 2013
    Assignee: Lattice Semiconductor Corporation
    Inventors: Shawn Murray, John Schadt, Steven J. Fong, Luan Phoc Chau, Thomas R. Gustafson
  • Patent number: 8539398
    Abstract: A processing device programming system automatically provides a user interface comprising a selectable list of one or more processing devices based on a system level solution, automatically generates an embedded programmable system solution from the system level solution and a processing device selected from the selectable list of one or more processing devices, and automatically programs the processing device according to the embedded programmable system solution.
    Type: Grant
    Filed: May 27, 2010
    Date of Patent: September 17, 2013
    Assignee: Cypress Semiconductor Corporation
    Inventors: John McDonald, Jon Pearson, Kenneth Ogami, Doug Anderson
  • Patent number: 8539397
    Abstract: A system and method for increasing processor throughput by decreasing a loop critical path. In one embodiment, a table comprises multiple stack entries, each comprising an x87 floating-point (FP) stack specifier. The combinatorial logic for operand translation of N FP instructions per clock cycle may require N instantiated copies of a combinatorial logic block. Each instantiated copy may determine a new ordering of the stack entries. Control logic may receive necessary information from the corresponding N FP instructions and determine a corresponding combined computational effect, or stack reordering, on entries within the table based on two or more instructions. Resulting control signals are conveyed to the N instantiated copies. A resulting accumulative delay from an input of the first copy to the output of the Nth copy may be less than or equal to (N?1)*time_delay versus a longer N*time_delay.
    Type: Grant
    Filed: June 11, 2009
    Date of Patent: September 17, 2013
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ranganathan Sudhakar, Daryl Lieu, Debjit Das Sarma
  • Patent number: 8539388
    Abstract: A layout system is described comprising a layout unit configured to layout cells in a mask design for a semiconductor chip based on library cells for a specified process node; a non-critical path determination unit configured to determine a non-critical path in the semiconductor chip; a cell determination unit configured to determine a group of cells in the mask design that form a part of the non-critical path and determine the corresponding library cell for at least one of the group of cells; a library cell modifying unit configured to modify one or more corresponding library cells to form a corresponding modified library cell; and a cell replacement unit configured to replace a library cell in the group of cells in the mask design that form a part of the non-critical path with the corresponding modified library cell.
    Type: Grant
    Filed: August 9, 2010
    Date of Patent: September 17, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chewn-Pu Jou, Ming-Tsun Lin, Fu-Lung Hsueh, Shauh-Teh Juang
  • Patent number: 8539272
    Abstract: An apparatus is disclosed that may include an integrated circuit (IC) with an initialization bus configured to communicate with at least one low power mode latch operating during a initialization mode to set a value into the low power mode latch and configured to respond to the assertion of a low power mode signal by selecting the low power mode latch state to drive at least one logic gate to minimize leakage current during the low power mode. The IC may similarly configure and operate a RAM. A leakage control table may be used during initialization mode and created by other embodiments. The net list of a circuit block including at least part of the configuration block and lower power control latch may be used and/or modified to create a new net list to further minimize leakage current during low power mode. Installation packages and program systems are disclosed.
    Type: Grant
    Filed: July 8, 2010
    Date of Patent: September 17, 2013
    Assignee: QUALCOMM Incorporated
    Inventors: Rudolph Yeung, Patrick Chan
  • Publication number: 20130235681
    Abstract: A method and circuit for implementing delay correction in static random access memory (SRAM), and a design structure on which the subject circuit resides are provided. The SRAM circuit includes a precharge enable signal coupled between precharge near and precharge far signals and wordline near and wordline far signals of the SRAM. A precharge pull down device is coupled between the precharge far signal and ground and is controlled responsive to the precharge enable signal to decrease a time delay of the falling transition of the precharge far signal. A respective word line pull up device is coupled between a respective wordline far signal and a voltage supply rail and is controlled responsive to the precharge enable signal to increase wordline voltage level upon a rising transition of the wordline far signal.
    Type: Application
    Filed: March 7, 2012
    Publication date: September 12, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Derick G. Behrends, Todd A. Christensen, Travis R. Hebig, Michael Launsbach, Daniel M. Nelson
  • Patent number: 8533642
    Abstract: An automatic code generation application is used to automatically generate code and build programs from a textual model or graphical model for implementation on the computational platform based on the design. One or more model elements may be capable of frame-based data processing. Various options and optimizations are used to generate Hardware Description Language (HDL) code for the frame-based model elements.
    Type: Grant
    Filed: January 18, 2011
    Date of Patent: September 10, 2013
    Assignee: The MathWorks, Inc.
    Inventors: Brian K. Ogilvie, Pieter J. Mosterman
  • Patent number: 8525186
    Abstract: Disclosed are embodiments of a method of forming, on an SOI wafer, a planar FET with embedded and faceted source/drain stressors. The method incorporates a directional ion implant process to create amorphous regions at the bottom surfaces of source/drain recesses in a single crystalline semiconductor layer of an SOI wafer. Then, an etch process selective to different crystalline planes over others and further selective to single crystalline semiconductor material over amorphous semiconductor material can be performed in order to selectively adjust the shape (i.e., the profile) of the recess sidewalls without increasing the depth of the recesses. Subsequently, an anneal process can be performed to re-crystallize the amorphous regions and an epitaxial deposition process can be used to fill the recesses with source/drain stressor material. Also disclosed are embodiments of a planar FET structure and a design structure for the planar FET.
    Type: Grant
    Filed: May 5, 2011
    Date of Patent: September 3, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Johnathan E. Faltermeier, Toshiharu Furukawa, Xuefeng Hua
  • Patent number: 8522174
    Abstract: A method includes simulating a first design of a semiconductor memory that includes at least one device disposed between and coupled to a memory bit cell and to a power supply line, determining if at least one simulated operational value of the semiconductor memory is above a threshold value, and adjusting at least one of a size of the device or a type of the device if the at least one simulated operational value is below the threshold value. The memory bit cell is disposed in a column including a plurality of bit cells. The size or type of the device is repeatedly adjusted and the design of the semiconductor memory is repeatedly simulated until the at least one simulated operational value is at or above the threshold value.
    Type: Grant
    Filed: January 31, 2012
    Date of Patent: August 27, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Bryan David Sheffield
  • Patent number: 8516412
    Abstract: In one embodiment, the invention is a method and apparatus for soft hierarchy-based synthesis for large-scale, high-performance circuits. One embodiment of a method for physically synthesizing a design of an integrated circuit includes compiling a logical description of the design into a flattened netlist, extracting a soft hierarchy from the flattened netlist, wherein the soft hierarchy defines a boundary on a die across which cells of the integrated circuit are permitted to move, and placing a cell of the integrated circuit on the die in accordance with the soft hierarchy.
    Type: Grant
    Filed: August 31, 2011
    Date of Patent: August 20, 2013
    Assignee: International Business Machines Corporation
    Inventors: Minsik Cho, Alvan W. Ng, Ruchir Puri, Haoxing Ren, Hua Xiang, Matthew M. Ziegler
  • Patent number: 8504955
    Abstract: A timing adjustment device includes a plurality of receive circuits that receive an input signal based on mutually different timings, a determination circuit that determines a first transition and a second transition of the input signal based on a received result by receive circuits, among the plurality of receive circuits, that receive the input signal with adjacent timings among different timings of the plurality of receive circuits, and an adjustment circuit that adjusts the receiving timing of the input signal so that the receiving timing of the input signal becomes close to a central timing of a period according to the first transition and the second transition.
    Type: Grant
    Filed: May 19, 2010
    Date of Patent: August 6, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Shigeki Kawai
  • Patent number: 8504952
    Abstract: A miniaturized electrostatic discharge (ESD) protection circuit designed for millimeter wave electrical elements, wherein the ESD protection circuit is fabricated on a multilayer substrate. The ESD protection circuit comprises a metal line being connected at one end to a ground and at other end to a connective strip, wherein a length of the metal line is a maximum length that achieves a resistance value defined for the ESD protection circuit and a width of the metal line is set to a maximum width allowed for the multilayer substrate, wherein the metal line introduces a inductance value into the ESD protection circuit; and a capacitor being connected in parallel to the metal line and having a capacitance value resonating the metal line at an operating frequency band, thereby the ESD protection circuit shunts ESD pulses to the ground and passes signals at the operating frequency band.
    Type: Grant
    Filed: December 28, 2010
    Date of Patent: August 6, 2013
    Assignee: Wilocity, Ltd.
    Inventor: Alon Yehezkely
  • Patent number: 8499264
    Abstract: A method of designing a logic circuit based on one of the functions of the form fn=x1 (x2 & (x3 (x4 & . . . xn . . . ))) and f?n=x1 & (x2 (x3 & (x4 . . . xn . . . ))), by (a) selecting n as the number of variables of the logic circuit, (b) testing n against a threshold, (c) for values of n less than the threshold, using a first algorithm to design the logic circuit, (d) for values of n greater than the threshold, using a second algorithm to design the logic circuit.
    Type: Grant
    Filed: February 29, 2012
    Date of Patent: July 30, 2013
    Assignee: LSI Corporation
    Inventor: Mikhail I. Grinchuk
  • Patent number: 8499262
    Abstract: An electric design automation (EDA) tool for generating a design of a system on a field programmable gate array. (FPGA) includes a graphical user interface to create a block based schematic. The EDA tool includes a library that includes a parameterizable filter block selectable by a designer to include in the block based schematic to represent a component in the design that filters data. The EDA tool includes a design adjustment unit to automatically modify previously programmed and selected components and wires in the block based schematic without input from the designer upon determining a change made to the parameterizable filter block by the designer.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: July 30, 2013
    Assignee: Altera Corporation
    Inventor: Steven Perry
  • Patent number: 8499263
    Abstract: Aspects of the invention relate to techniques for generating encrypted profiles for layout features. According to various implementations of the invention, a layout feature is partitioned into subdomains. The subdomains are associated with boundary nodes and internal nodes. Based on layout design and process profile data for the layout feature, a first electric parameter relationship is determined for the boundary nodes and the internal nodes. An encrypted profile for the layout feature is then generated by converting the first electric parameter relationship into a second electric parameter relationship involving the boundary nodes. The encrypted profile may be used for extracting parasitic parameters associated with the layout feature.
    Type: Grant
    Filed: March 29, 2012
    Date of Patent: July 30, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Weiping Shi, Wangqi Qiu
  • Publication number: 20130187729
    Abstract: Switchable and/or tunable filters, methods of manufacture and design structures are disclosed herein. The method of forming the filters includes forming at least one piezoelectric filter structure comprising a plurality of electrodes formed on a piezoelectric substrate. The method further includes forming a fixed electrode with a plurality of fingers on the piezoelectric substrate. The method further includes forming a moveable electrode with a plurality of fingers over the piezoelectric substrate. The method further includes forming actuators aligned with one or more of the plurality of fingers of the moveable electrode.
    Type: Application
    Filed: January 25, 2012
    Publication date: July 25, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: James W. ADKISSON, Panglijen CANDRA, Thomas J. DUNBAR, Jeffrey P. GAMBINO, Mark D. JAFFE, Anthony K. STAMPER, Randy L. WOLF
  • Publication number: 20130187229
    Abstract: A device includes a semiconductor substrate. A gate stack on the semiconductor substrate includes a gate dielectric layer and a gate conductor layer. Low-k spacers are adjacent to the gate dielectric layer. Raised source/drain (RSD) regions are adjacent to the low-k spacers. The low-k spacers are embedded in an ILD on the RSD regions.
    Type: Application
    Filed: January 20, 2012
    Publication date: July 25, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: KANGGUO CHENG, Bruce B. Doris, Ali Khakifirooz, Douglas C. La Tulipe
  • Publication number: 20130187246
    Abstract: A design structure for an integrated radio frequency (RF) filter on a backside of a semiconductor substrate includes: a device on a first side of a substrate; a radio frequency (RF) filter on a backside of the substrate; and at least one substrate conductor extending from the front side of the substrate to the backside of the substrate and electrically coupling the RF filter to the device.
    Type: Application
    Filed: January 20, 2012
    Publication date: July 25, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: James W. ADKISSON, Panglijen CANDRA, Thomas J. DUNBAR, Jeffrey P. GAMBINO, Mark D. JAFFE, Anthony K. STAMPER, Randy L. WOLF
  • Publication number: 20130187706
    Abstract: A tamper resistant electronic device includes multiple eFuses that are individually blown in each instance the electronic device is tampered with. For example an eFuse is blown when the electronic device is subjected to a temperature that causes solder reflow. Since it is anticipated that the electronic device may be tampered with in an acceptable way and/or an acceptable number of instances, functionality of the electronic device is altered or disabled only after a threshold number of eFuses are blown. In certain implementations, the threshold number is the number of anticipated acceptable tamper events. Upon a tamper event an individual eFuse is blown. If the total number of blown eFuses is less than the threshold, a next eFuse is enabled so that it may be blown upon a next tamper event.
    Type: Application
    Filed: January 20, 2012
    Publication date: July 25, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Travis R. Hebig, Joseph Kuczynski, Robert E. Meyer, III, Steven R. Nickel
  • Patent number: 8495531
    Abstract: An improved approach is described for allowing designers to identify and utilize suitable IP for an electronic design. An architecture is provided that includes an IP portal and/or chip estimator to identify suitable IP from a catalog of IP, which is integrated with a hosted design environment to use and test that IP for the user's specific electronic design. An authorization mechanism may be used to control access to the IP from the IP catalog. This approach greatly enhances the probability that IP suppliers will be successfully connected with the target consumers of those IP blocks.
    Type: Grant
    Filed: September 1, 2011
    Date of Patent: July 23, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Jeffrey K. Ng, Tobing Soebroto, Adam R. Traidman
  • Patent number: 8494804
    Abstract: A system and method generates a test file of a print circuit board (PCB). The system and method loads trace information of the PCB into a storage system of a test computer, searches the storage system for the trace information matching keywords received and selects traces to test from the searched results. The system and method further acquires length and test points of each selected trace, and sets test parameters of each test item. In addition, the system and method generates a test file of the PCB according to the test parameters, the length, and the test points of each selected trace.
    Type: Grant
    Filed: March 21, 2010
    Date of Patent: July 23, 2013
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventors: Hsien-Chuan Liang, Shen-Chun Li, Shou-Kuo Hsu, Yung-Chieh Chen
  • Patent number: 8495554
    Abstract: A method for matching systems with power and thermal domains is provided in the illustrative embodiments. A subset of the set of systems is sorted according to size to form a sorted list of systems. The smallest remaining system in the sorted list of systems is selected. The smallest remaining system is allocated to a domain responsive to a determination that the domain can service the smallest remaining system. A system from a second subset is allocated to a plurality of domains such that the plurality of domains includes a smallest number of domains from the set of domains.
    Type: Grant
    Filed: April 30, 2012
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Charles Robert Lefurgy, Freeman Leigh Rawson, III
  • Publication number: 20130181742
    Abstract: A method includes applying a clock signal having an uneven duty cycle to a control input of at least one selection element of a selection circuit having a tree structure that includes multiple selection elements. The tree structure includes a data input tier and a data output tier.
    Type: Application
    Filed: March 5, 2013
    Publication date: July 18, 2013
    Applicant: QUALCOMM INCORPORATED
    Inventor: QUALCOMM Incorporated
  • Patent number: 8490046
    Abstract: An approach is provided that receives a correlation data structure from a memory. The correlation data structure indicates a number of expected test event triggers that correspond to a test case that includes a number of test events. The test case is executed by a computer processor, the execution resulting in one or more resultant data structures stored in the memory. The resultant data structures indicate one or more actual test event triggers that occurred during the execution. A base key value is generated corresponding to each of the resultant data structures. A scalar array is searched for the base key values. In response to finding base key values, a counter corresponding to the base key values is incremented. However, if base key values are not found in the scalar array, then the base key values are added to the scalar array and the added entries are initialized.
    Type: Grant
    Filed: August 23, 2012
    Date of Patent: July 16, 2013
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Amol V. Bhinge
  • Patent number: 8490035
    Abstract: Tensor transmission-line metamaterial unit cells are formed that allow the creation of any number of optic/electromagnetic devices. A desired electromagnetic distribution of the device is determined, from which effective material parameters capable of creating that desired distribution are obtained, for example, through a transformation optics/electromagnetics process. These effective material parameters are then linked to lumped or distributed circuit networks that achieve the desired distribution.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: July 16, 2013
    Assignee: The Regents of the University of Michigan
    Inventors: Anthony Grbic, Gurkan Gok
  • Publication number: 20130176774
    Abstract: Systems and methods of testing a reference cell in a memory array are disclosed. In a particular embodiment, a method includes coupling a first reference cell of a first reference cell pair of a memory array to a first input of a first sense amplifier of the memory array. The method also includes providing a reference signal to a second input of the first sense amplifier. The reference signal is associated with a second reference cell pair of the memory array.
    Type: Application
    Filed: February 27, 2013
    Publication date: July 11, 2013
    Applicant: QUALCOMM Incorported
    Inventor: QUALCOMM Incorported
  • Patent number: 8484603
    Abstract: A method co-optimizes a design and a library in such a way to choose the best set of cells to implement the design. The method takes into account the idea of limiting the number of new cells while reducing target costs and respecting design constraints. The method chooses a minimum nearly optimum set of cells to optimize a design. This involves the simultaneous optimization of a cell-based design and a cell library used to implement it. The invention can produce only an optimized library for a specific application, when the circuit is disregarded. The method takes into account a set of new cells described as finalized cells or as virtual cells, possibly having different transistor topologies, different sizes, different logic functions, and/or different cell template than the original library.
    Type: Grant
    Filed: September 18, 2012
    Date of Patent: July 9, 2013
    Assignee: Nangate Inc.
    Inventors: Andre Inacio Reis, Anders Bo Rasmussen, Vinicius Pazutti Correia, Ole Christian Andersen
  • Patent number: 8484595
    Abstract: An antenna ratio calculation section extracts components from which two or more independent metal wires are coupled to one of diffusion layer regions based on layout data read from a layout data accumulation section, determines, for each of the components, the area of each of the two or more independent metal wires and electrodes coupled to the respective metal wires, determines an antenna ratio between the area of each of the metal wires and the area of the electrode coupled to the metal wire, and determines a moderation value for moderating a design standard associated with plasma charge damage related to one of the metal wires based on the ratio of the total area of all the metal wires coupled to the one of the diffusion layer regions to the area of the one of the metal wires.
    Type: Grant
    Filed: February 22, 2011
    Date of Patent: July 9, 2013
    Assignee: Renesas Electronics Corporation
    Inventor: Hideo Sakamoto
  • Patent number: 8484597
    Abstract: An integrated circuit manufacturing method comprising: calculating a threshold value from a value of a parameter which characterizes at least a part of a design pattern shape of a transistor on the target path; calculating a difference between the calculated threshold value and a target threshold value; calculating a change quantity of a gate length corresponding to the difference between the threshold value and the target threshold value according to the functional relation between the threshold value of the transistor and the gate length, which is determined based on the empirical value or the experimental value; reducing, by the change quantity, the gate length of the transistor on the target path; and manufacturing an integrated circuit on the basis of design information of the circuit including the transistor of which the gate length is changed.
    Type: Grant
    Filed: March 30, 2011
    Date of Patent: July 9, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Hiroshi Arimoto
  • Patent number: 8484590
    Abstract: Software method to identify which transistor gates float, and why, in complex, multi-transistor, electronic circuit designs. Transistor gates suspected of floating are analyzed by expanding backwards to build a logical tree representation of the previous predecessor circuit portions which drive that suspect gate. The method checks if the previous level of predecessor circuit node states earlier in the circuit show up more than once with different values, thus indicating by logical conflict that a particular floating suspect gate does not float. It then repeats this back-trace analysis for the next previous level of predecessor circuit portions, further seeking logical conflicts within the expanding logic tree. This is continued until either no predecessor circuit portion that can cause the suspect gate to float is found, or until a portion that does cause the suspect gate to float is found, in which case the suspect gate is identified as a probable floating gate.
    Type: Grant
    Filed: January 8, 2012
    Date of Patent: July 9, 2013
    Inventor: Jesse Conrad Newcomb
  • Patent number: 8484008
    Abstract: Systems and methods for performing timing sign-off of an integrated circuit design are disclosed. In one example embodiment the integrated circuit design is divided into plurality of blocks based on a pre-determined logic. A timing model is extracted for each block using static timing analysis (STA), wherein the extracted timing model includes timing information. An integrated circuit design level STA is performed using the extracted timing model of all of the plurality of blocks to obtain first integrated circuit design timing. The first integrated circuit timing is compared with a predetermined performance criterion.
    Type: Grant
    Filed: October 11, 2010
    Date of Patent: July 9, 2013
    Assignee: LSI Corporation
    Inventor: Rajkumar Agrawal
  • Patent number: 8484589
    Abstract: During a pop phase of hierarchical repartitioning of an IC design, all cells within a current hierarchy may be identified, the list of cells may be ungrouped to dissolve the current hierarchy, one or more specified cells may be removed from the list of cells, where the specified one or more cells are to be moved to a different hierarchy, and the new list of cells without the specified one or more cells may be re-grouped, to re-form the previously dissolved hierarchy. During a push phase of the hierarchical repartitioning, all cells within the next lower-level hierarchy may be identified, the identified list of cells may be ungrouped to dissolve that hierarchy, the specified one or more cells may be added to the identified list of cells, and the new list of cells that includes the specified one or more cells may be grouped to reform the previously dissolved hierarchy.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: July 9, 2013
    Assignee: Apple Inc.
    Inventors: Robert D. Kenney, Hani Hasan Mustafa Saleh, Sreevathsa Ramachandra
  • Publication number: 20130168782
    Abstract: Micro-Electro-Mechanical System (MEMS) structures, methods of manufacture and design structures are disclosed. The method includes forming at least one fixed electrode on a substrate. The method further includes forming a Micro-Electro-Mechanical System (MEMS) beam with a varying width dimension, as viewed from a top of the MEMS beam, over the at least one fixed electrode.
    Type: Application
    Filed: January 3, 2012
    Publication date: July 4, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Christopher V. JAHNES, Anthony K. STAMPER
  • Publication number: 20130169383
    Abstract: Switchable and/or tunable filters, methods of manufacture and design structures are disclosed herein. The method of forming the filters includes forming at least one piezoelectric filter structure comprising a plurality of electrodes formed on a piezoelectric substrate. The method further includes forming a micro-electro-mechanical structure (MEMS) comprising a MEMS beam formed above the piezoelectric substrate and at a location in which, upon actuation, the MEMS beam shorts the piezoelectric filter structure by contacting at least one of the plurality of electrodes.
    Type: Application
    Filed: January 3, 2012
    Publication date: July 4, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: James W. ADKISSON, Panglijen CANDRA, Thomas J. DUNBAR, Jeffrey P. GAMBINO, Mark D. JAFFE, Anthony K. STAMPER, Randy L. WOLF