Integrated Circuit Design Processing Patents (Class 716/100)
  • Patent number: 8479127
    Abstract: A network accessible system for synthesizing (constructing) an electronic circuit model in response to electromagnetic analysis data. The invention provides rapid automation of the synthesis of an electronic circuit model by incorporating one or more synthesized electronic model components that are selected from a larger plurality of synthesized (candidate) electronic components whose structure and electromagnetic characteristics are rapidly and automatically synthesized in response to the electromagnetic analysis data.
    Type: Grant
    Filed: May 16, 2011
    Date of Patent: July 2, 2013
    Assignee: Sonnet Software, Inc.
    Inventor: James C. Rautio
  • Patent number: 8479129
    Abstract: The present disclosure describes a memory block manager. In some aspects a request is transmitted to a model of an IP block at a randomized time and a response is received from the model of the IP block useful to characterize behavior of the IP block when fabricated. In other aspects a response to a request is transmitted to a model of an IP block at a randomized time and a communication is received from the model of the IP block useful to characterize behavior of the fabricated IP block when fabricated.
    Type: Grant
    Filed: May 18, 2011
    Date of Patent: July 2, 2013
    Assignee: Marvell International Ltd.
    Inventors: Ravishankar Kalyanaraman, Kumaril Bhatt, Nikhil Mungre
  • Patent number: 8479134
    Abstract: A method of specifying system level constraints for connecting an interface of an electronic device between first and second fabrics includes specifying one or more first condition relating to a placement of the interface, specifying one or more second condition relating to a connection of a net in the interface between the first and second fabrics, generating one or more first equation expressing the first condition as a function of the location of the connectors, generating one or more second equation expressing the second condition as a function of the location of connectors, generating one or more third equation expressing an optimality criterion for the interface, and outputting the one or more first equation, the one or more second equation and the one or more third equation to a data file in a computer readable format.
    Type: Grant
    Filed: December 23, 2009
    Date of Patent: July 2, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Utpal Bhattacharya, Vikas Kohli, Tarun Beri, Rahul Verma
  • Patent number: 8473879
    Abstract: At least one N-type transistor and at least one P-type transistor separate from the digital circuit are sized to represent the total area of the corresponding type transistors in the digital circuit. The gates of the N-type transistor and P-type transistors are set to voltages according to the corresponding off-state logic levels of the digital circuit. The N-type and P-type transistors form a portion of corresponding current mirror circuits, which can provide outputs to a leakage current monitor and/or a control circuit such as a comparator that determines when leakage current for the N-type or P-type devices has exceeded a threshold. The output of the measurement/control circuit can be used to determine a temperature of and/or control operation of the digital circuit or the system environment of the integrated circuit.
    Type: Grant
    Filed: May 31, 2012
    Date of Patent: June 25, 2013
    Assignee: International Business Machines Corporation
    Inventors: Rajiv V. Joshi, Rousaida N. Kanj, Jente B. Kuang, Sani R. Nassif
  • Patent number: 8473887
    Abstract: Implementations of the present disclosure involve an apparatus and/or method for identifying and classify nodes of an electrical circuit design to account for hold time violations occurring within the circuit. The nodes may be ordered based on a criticality of the nodes that may aid in identifying those nodes of the circuit where hold time violations may be corrected. In one embodiment, the criticality may relate to the number of potentially violating paths that utilize the identified nodes such that corrective measures applied at those nodes may correct several hold time violating paths. In addition, criticality may be scaled utilizing an available buffer library and other timing information.
    Type: Grant
    Filed: March 16, 2011
    Date of Patent: June 25, 2013
    Assignee: Oracle America, Inc.
    Inventor: Tong Xiao
  • Patent number: 8473274
    Abstract: Techniques and mechanisms provide design information in a scalable representation. A design includes multiple master components and slave components. The master components and slave components include ports allowing interconnection. Techniques and mechanisms are described for selectively providing a subset of the interconnections between the master components and the slave components.
    Type: Grant
    Filed: September 8, 2010
    Date of Patent: June 25, 2013
    Assignee: Altera Corporation
    Inventors: Timothy Allen, Michael Fairman
  • Patent number: 8473888
    Abstract: A method of designing an integrated circuit includes defining at least one dummy layer covering at least one of a portion of a first metallic layer and a portion of a second metallic layer of an integrated circuit. The second metallic layer is disposed over the first metallic layer. The first metallic layer, the second metallic layer and a gate electrode of the integrated circuit have a same routing direction. A logical operation is performed to a file corresponding to the at least one of the portion of the first metallic layer and the portion of the second metallic layer covered by the dummy layer so as to size at least one of the portion of the first metallic layer and the portion of the second metallic layer.
    Type: Grant
    Filed: March 14, 2011
    Date of Patent: June 25, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ta-Pen Guo, Li-Chun Tien, Shyue-Shyh Lin, Mei-Hui Huang
  • Publication number: 20130146940
    Abstract: Aspects of the invention provide a semiconductor tunneling device including voltage controlled negative resistance. In one embodiment, the semiconductor tunneling device includes: at least one pair of spaced apart terminals; an inter-level dielectric (ILD) layer between the at least one pair of spaced apart terminals; and a dielectric capping layer extending continuously over the at least one pair of spaced apart terminals and the ILD layer.
    Type: Application
    Filed: December 12, 2011
    Publication date: June 13, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Fen Chen, Elbert E. Huang, Michael A. Shinosky
  • Patent number: 8464188
    Abstract: Systems and methods are provided for a scheme and mechanism for performing static analysis of a sample time aware state diagram model to compute and propagate multiple samples rates associated with the state diagram model. A graphical intermediate representation of the state diagram model, such as a directed graph or control flow graph, is used to determine how the multiple sample rates are propagated via elements of the state diagram model. The graph provides a static representation of the control of flow, including alternative and/or conditional flow paths, of the state diagram model. The present invention determines the propagation of sample rates via analysis and traversal of the intermediate representation. By using the techniques of the present invention, a state diagram model may provide multiple sample rate outputs, such as by function calls and output signals to a graphical model, such as a model representing a dynamic system.
    Type: Grant
    Filed: August 23, 2005
    Date of Patent: June 11, 2013
    Assignee: The MathWorks, Inc.
    Inventors: Vijay Raghavan, Ebrahim Mehran Mestchian
  • Publication number: 20130141114
    Abstract: A non-linear kerf monitor, methods of manufacture and design structures are provided. The structure includes a coplanar waveguide provided in a kerf of a wafer between a first chip and a second chip. The structure further includes a shunt switch and a series switch coupled to the coplanar waveguide.
    Type: Application
    Filed: December 2, 2011
    Publication date: June 6, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Alan B. BOTULA, Alvin J. JOSEPH, Randy L. WOLF
  • Publication number: 20130141986
    Abstract: A method and circuit for implementing column redundancy steering for memories with wordline repowering, and a design structure on which the subject circuit resides are provided. Each respective data column receives a precharge signal applied to an associated precharge function. An inverting multiplexer is provided in a precharge path after the wordline repowering having inputs coupled to the respective precharge functions before and after the wordline repowering. The inverting multiplexer passes the precharge signal from the precharge function before the wordline repowering or from the precharge function after the wordline repowering. The inverting multiplexer is controlled by the redundancy steering control signal that activates redundancy steering.
    Type: Application
    Filed: December 1, 2011
    Publication date: June 6, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Chad A. Adams, Travis R. Hebig
  • Patent number: 8458642
    Abstract: Disclosed are embodiments for modeling contact resistance of devices, such as metal oxide semiconductor field effect transistors or varactors, that specifically have a multi-finger gate structure. In the embodiments, a set of expressions for total contact resistance are presented, in which (i) the total contact resistance is the sum of the resistance contribution from the contact (or the set of all contacts) in each diffusion region, (ii) the resistance contribution from the contact (or the set of all contacts) to the total contact resistance is the product of its resistance and the square of the relative electric current passing through it, and (iii) the electric current passing through the contact (or the set of all contacts) in a shared diffusion region (i.e., in an inner diffusion region) is twice of the electric current passing through the contact (or the set of all contacts) in an unshared diffusion region (i.e., in an outer diffusion region).
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: June 4, 2013
    Assignee: International Business Machines Corporation
    Inventor: Ning Lu
  • Patent number: 8458635
    Abstract: A convolution of the kernel over a layout in a multi-core processor system includes identifying a sector, called a dynamic band, of the layout including a plurality of evaluation points. Layout data specifying the sector of the layout is loaded in shared memory, which is shared by a plurality of processor cores. A convolution operation of the kernel and the evaluation points in the sector is executed. The convolution operation includes iteratively loading parts of the basis data set, called a stride, into space available in shared memory given the size of the layout data specifying the sector. A plurality of threads is executed concurrently using the layout data for the sector and the currently loaded part of the basis data set. The iteration for the loading basis data set proceeds through the entire data set until the convolution operation is completed.
    Type: Grant
    Filed: December 4, 2009
    Date of Patent: June 4, 2013
    Assignee: Synopsys, Inc.
    Inventors: Min Ni, Zongwu Tang, Qing Su
  • Patent number: 8453077
    Abstract: A circuit designing method designs a circuit by client computers designing blocks forming the circuit in parallel, and a server exchanging information in real-time with each client computer. The method may notify information related to blocks corresponding to a request from each client computer to the server, analyze each block by an analyzing tool based on the acquired information, and when an analysis result includes an error, compute by a modification ease computing tool, a modification ease of an arbitrary block that includes the error, to notify each client computer of an analysis result taking into consideration the modification ease.
    Type: Grant
    Filed: July 17, 2012
    Date of Patent: May 28, 2013
    Assignee: Fujitsu Limited
    Inventors: Sumiko Makino, Yasuo Amano
  • Patent number: 8453080
    Abstract: One or more hardware description language (HDL) files describe a plurality of hierarchically arranged design entities defining a digital design to be simulated and a plurality of configuration entities not belonging to the digital design that logically control settings of a plurality of configuration latches in the digital design. The HDL file(s) are compiled to obtain a simulation executable model of the digital design and an associated configuration database. The compiling includes parsing a configuration statement that specifies an association between an instance of a configuration entity and a specified configuration latch, determining whether or not the specified configuration latch is described in the HDL file(s), and if not, creating an indication in the configuration database that the instance of the configuration latch had a specified association to a configuration latch to which it failed to bind.
    Type: Grant
    Filed: December 16, 2008
    Date of Patent: May 28, 2013
    Assignee: International Business Machines Corporation
    Inventors: Wolfgang Roesner, Robert J. Shadowen, Derek E. Williams
  • Patent number: 8453136
    Abstract: A method and an apparatus are described for allowing several different applications to incrementally collaborate while making changes to a circuit design.
    Type: Grant
    Filed: March 6, 2007
    Date of Patent: May 28, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Mark Steven Hahn, Arnold Ginetti
  • Patent number: 8453097
    Abstract: A method and system for generating and matching complex series and/or parallel combinations of nominally identical initial elements to achieve compound values having constant ratios to the initial elements and to each other is disclosed. The ratios between compound values can be held constant to almost any desired degree of accuracy, with potential errors greatly reduced from those typical in the construction of individual elements of different values. Since the initial elements are nominally identical, the ratios between values depend primarily upon the connections of the initial elements, rather than their geometry, and thus remain virtually constant regardless of variations in the manufacturing process.
    Type: Grant
    Filed: March 7, 2012
    Date of Patent: May 28, 2013
    Assignee: ESS Technology, Inc.
    Inventor: A. Martin Mallinson
  • Publication number: 20130119491
    Abstract: Bulk acoustic wave filters and/or bulk acoustic resonators integrated with CMOS processes, methods of manufacture and design structures are disclosed. The method includes forming at least one beam comprising amorphous silicon material and providing an insulator material over and adjacent to the amorphous silicon beam. The method further includes forming a via through the insulator material and exposing a material underlying the amorphous silicon beam. The method further includes providing a sacrificial material in the via and over the amorphous silicon beam. The method further includes providing a lid on the sacrificial material and over the insulator material. The method further includes venting, through the lid, the sacrificial material and the underlying material to form an upper cavity above the amorphous silicon beam and a lower cavity below the amorphous silicon beam, respectively.
    Type: Application
    Filed: November 11, 2011
    Publication date: May 16, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Stephen E. LUCE, Anthony K. Stamper
  • Publication number: 20130119505
    Abstract: Schottky barrier diodes, methods for fabricating Schottky barrier diodes, and design structures for a Schottky barrier diode. A guard ring for a Schottky barrier diode is formed with a selective epitaxial growth process. The guard ring for the Schottky barrier diode and an extrinsic base of a vertical bipolar junction diode on a different device region than the Schottky barrier diode may be concurrently formed using the same selective epitaxial growth process.
    Type: Application
    Filed: November 11, 2011
    Publication date: May 16, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: David L. Harame, Qizhi Liu, Robert M. Rassel
  • Patent number: 8443344
    Abstract: Approaches for generating a hardware definition from a program specified in a high-level language. In one approach, a first set of blocks of instructions in the high-level language program is identified. Each block in the first set is bounded by a respective loop designation in the high-level language. For each block in the first set, an associated respective second set of one or more blocks of the program is identified. Each block in the second set is outside the block in the first set. A hardware definition of the program is generated and stored. For each block in the first set, the hardware definition specifies power-reducing circuitry for one or more blocks in the associated second set. The power-reducing circuitry is controlled based on a status indication from the hardware definition of the block in the first set.
    Type: Grant
    Filed: September 25, 2008
    Date of Patent: May 14, 2013
    Assignee: Xilinx, Inc.
    Inventors: Prasanna Sundararajan, Tim Tuan
  • Publication number: 20130117601
    Abstract: A method and circuit for implementing an enhanced availability personality card for a chassis computer system, and a design structure on which the subject circuit resides are provided. The personality card includes a first erasable programmable read only memory (EPROM) and a second EPROM, each EPROM storing Vital Product Data (VPD) and a first temperature sensor and a second temperature sensor sensing temperature. A primary bidirectional bus and a redundant bidirectional bus are respectively connected between the first EPROM and the first temperature sensor and the second EPROM and the second temperature sensor, and a pair of chassis management modules. Each chassis management module includes a switch connected to both the primary bidirectional bus and the redundant bidirectional bus providing redundant paths, enabling continued function with failure of any critical personality card component.
    Type: Application
    Filed: November 8, 2011
    Publication date: May 9, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jerry D. Ackaret, Justin P. Bandholz, Brian E. Bigelow, Joseph E. Bolan, Kevin M. Cash, David L. Cowell, Martin J. Crippen, Christopher L. Durham, Jeffery M. Franke, James E. Hughes, David J. Jensen, John K. Langgood, Bay Van Nguyen, James A. O'Connor, Derek Robertson, John M. Sheplock, Wilson E. Smith
  • Patent number: 8438512
    Abstract: Disclosed is an improved method and system for implementing parallelism for execution of electronic design automation (EDA) tools, such as layout processing tools. Examples of EDA layout processing tools are placement and routing tools. Efficient locking mechanism are described for facilitating parallel processing and to minimize blocking.
    Type: Grant
    Filed: August 30, 2011
    Date of Patent: May 7, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: David Cross, Eric Nequist
  • Patent number: 8438510
    Abstract: System and method for developing an ASIC. A software program may be stored which includes program instructions which implement a function. The software program may be executed on a processing system at a desired system speed and may be validated based on the execution. A first hardware description of at least a portion of the processing system may be stored and may specify implementation of at least a portion of the processing system. A second hardware description may be generated that corresponds to a first portion of the first hardware description. The second hardware description may specify a dedicated hardware implementation of a first portion of the software program executing on the processing system. Generation of the second hardware description may be performed one or more times to fully specify the ASIC. An ASIC may be created which implements the function of the software program.
    Type: Grant
    Filed: March 27, 2012
    Date of Patent: May 7, 2013
    Assignee: Coherent Logix, Incorporated
    Inventor: Tommy K. Eng
  • Patent number: 8438523
    Abstract: In layout design step of the semiconductor integrated circuit manufacturing method, when it is found that the wiring length between an external terminal and an IO block (external terminal I/F circuit) corresponding to the external terminal increases after a floorplan of a circuit including a functional block and the IO block is determined, placement of the IO block is determined such that the IO block is placed close to the external terminal to alleviate constraints on the wiring between the IO block and the external terminal, and timing adjustment circuits whose number is determined according to the wiring length of a bus (or a shared bus) connecting a data transfer circuit and the IO block is inserted into the bus.
    Type: Grant
    Filed: May 27, 2011
    Date of Patent: May 7, 2013
    Assignee: Panasonic Corporation
    Inventors: Daisuke Iwahashi, Masayoshi Tojima, Tokuzo Kiyohara
  • Publication number: 20130106461
    Abstract: A screening method and circuit for implementing a Physically Unclonable Function (PUF), and a design structure on which the subject circuit resides are provided. A plurality of field effect transistors (FETs) is coupled to a low-offset dynamic comparator and is respectively selected to provide a plurality of FET pairs. For each FET pair, a voltage offset to obtain a comparator output transition is identified and recorded. The recorded voltage offset for each FET pair is compared with a margin threshold value. Each FET pair having an identified voltage offset less than the margin threshold value is discarded or disabled for PUF response generation use.
    Type: Application
    Filed: October 28, 2011
    Publication date: May 2, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Joel T. Ficke, Grant P. Kesselring, James D. Strom
  • Patent number: 8434036
    Abstract: An arithmetic-program conversion apparatus includes: a program storage section storing an arithmetic program describing a circuit by a logical expression including a plurality of input and output variables, and operators; if the expression has three input variables or more, an intermediate-variable generation section generating an intermediate variable for converting the expression into a plurality of binomials including input and output variables; if the intermediate variable is generated, an expression conversion section converting the logical expression into a plurality of binomials including a binomial for obtaining the intermediate variable and a binomial obtaining the output variable from the intermediate variable; if a plurality of binomials are generated, an expression update section updating the stored original expression; a bit-width determination section determining bit widths of the output, input, and intermediate variables of the expression; and a bit-width storage section storing the bit widths
    Type: Grant
    Filed: January 19, 2010
    Date of Patent: April 30, 2013
    Assignee: Sony Corporation
    Inventor: Shota Hasegawa
  • Patent number: 8434044
    Abstract: A programmable chip design tool is provided to enumerate and specify the security and/or redundancy constraints of a programmable chip design. A design is implemented with a high-level security or redundancy scheme, and the programmable chip design tool applies the scheme while simultaneously optimizing for desired metrics (logic density, routability, timing, power, etc.). An underlying assignment scheme as well as user interface components used to enter this assignment scheme are provided.
    Type: Grant
    Filed: December 14, 2010
    Date of Patent: April 30, 2013
    Assignee: Altera Corporation
    Inventors: David Samuel Goldman, Bruce B. Pedersen, Gabriel Quan, Yuen Ho Choi
  • Patent number: 8434051
    Abstract: A method of automating circuit design is provided and includes storing one or more circuit design schematics in a memory, providing, by way of an interface, a plurality of search parameters for searching for nets of the schematics in the memory, searching for nets of the schematics in the memory in accordance with search parameters input into the interface and presenting, by way of the interface, information associated with a net matching the received search parameters.
    Type: Grant
    Filed: September 11, 2009
    Date of Patent: April 30, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kutalmis Koyuncu, David Webber, Michael H. Wood
  • Patent number: 8434035
    Abstract: Methods and apparatuses are disclosed for generating a placed, routed, and optimized circuit design. Other embodiments are a circuit design and circuit created with the technology. The placed, routed, and optimized circuit design obeys relative positioning rules of a set of the circuit elements. Such relative positioning rules were created specifically for these circuit elements.
    Type: Grant
    Filed: July 5, 2012
    Date of Patent: April 30, 2013
    Assignee: Synopsys, Inc.
    Inventor: Anand Arunachalam
  • Patent number: 8423949
    Abstract: The present disclosure is directed to a technique that can be applied to a situation in which a single product is designed by multiple designers using a CAD. During a modification operation of feature data, portions that are referenced to are accumulated in a referenced portion accumulation unit. A portion that has been modified is obtained from new and old feature data, and a determination is made as to whether a reference to the modified portion has been made, based on the information accumulated in the referenced portion accumulation unit. Information about the modified portion that is determined as having been referenced to is displayed on a display.
    Type: Grant
    Filed: December 23, 2010
    Date of Patent: April 16, 2013
    Assignee: Fujitsu Limited
    Inventor: Yukihiko Furumoto
  • Patent number: 8423328
    Abstract: Methods for modeling a random variable with spatially inhomogenous statistical correlation versus distance, standard deviation, and mean by spatial interpolation with statistical corrections. The method includes assigning statistically independent random variable to a set of seed points in a coordinate frame and defining a plurality of test points at respective spatial locations in the coordinate frame. A equation for a random variable is determined for each of the test points by spatial interpolation from one or more of the random variable assigned to the seed points. The method further includes adjusting the equation of the random variable at each of the test point with respective correction factor equations.
    Type: Grant
    Filed: September 30, 2009
    Date of Patent: April 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: John M. Cohn, Ulrich A. Finkler, David J. Hathaway, Jeffrey G. Hemmett, Fook-Luen Heng, Jason D Hibbeler, Gie Lee, Wayne H. Woods, Jr., Cole E. Zemke
  • Patent number: 8423930
    Abstract: A semiconductor integrated circuit including a circuit for adaptive power supply regulation and designed using a process that increases operating speed used for characterizing circuit operation at a slow corner. In some embodiments a slow corner voltage is set to a higher than expected level for timing analysis performed by automated design tools.
    Type: Grant
    Filed: April 5, 2010
    Date of Patent: April 16, 2013
    Assignee: QUALCOMM Incorporated
    Inventors: Behnam Malek-Khosravi, Michael Brunolli
  • Patent number: 8423929
    Abstract: Systems and methods are disclosed to automatically generate a processor architecture for a custom integrated circuit (IC) described by a computer readable code. The IC has one or more timing and hardware constraints. The system extracts parameters defining the processor architecture from a static profile and a dynamic profile of the computer readable code; iteratively optimizes the processor architecture by changing one or more parameters until all timing and hardware constraints expressed as a cost function are met; and synthesizes the generated processor architecture into a computer readable description of the custom integrated circuit for semiconductor fabrication.
    Type: Grant
    Filed: October 18, 2010
    Date of Patent: April 16, 2013
    Assignee: Algotochip Corp.
    Inventors: Anand Pandurangan, Pius Ng, Siva Selvaraj, Sanjay Banerjee, Ananth Durbha, Suresh Kadiyala, Satish Padmanabhan
  • Publication number: 20130088269
    Abstract: A circuit for implementing a control voltage mirror for phase error and jitter performance optimization and a design structure on which the subject circuit resides are provided. The control voltage mirror is used with a phase locked loop filter utilizing a thin oxide filter capacitor connected to a control voltage and a distal side of the capacitor connected to a voltage reference. The control voltage mirror includes an operational amplifier holding voltage across the capacitor to be near or at zero volts, substantially eliminating capacitor leakage current to provide phase error and jitter performance optimization.
    Type: Application
    Filed: October 5, 2011
    Publication date: April 11, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kennedy K. Cheruiyot, Joel T. Ficke, David M. Friend, Grant P. Kesselring, James D. Strom
  • Patent number: 8418098
    Abstract: A verification system for verifying an integrated circuit design is provided. The verification system includes a functional block finding module configured to identify potential sensitive circuits in the integrated circuit design; and a search module. The search module is configured to find sensitive circuits from the potential sensitive circuits; and verify the sensitive circuits.
    Type: Grant
    Filed: March 24, 2008
    Date of Patent: April 9, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chi-Heng Huang, Gary Lin, Chu-Fu Chen, Yi-Kan Cheng, Fu-Lung Hsueh
  • Patent number: 8418110
    Abstract: An integrated circuit characterized by a netlist may be routed using a routing priority list that may be created using port obscurity factors. A port obscurity factor may indicate how difficult it may be to route to that port and may be calculated as being inversely proportional to the number of routing tracks that may be connectable to that port. Routing priorities for the nets of the netlist may then be created using the port obscurity factors of the ports in the net. Routing may then be done in the order determined by the routing priority list and the generated layout information stored in a computer useable medium. In some cases, routing may be performed using multiple routing passes where a new routing priority list may be calculated for each routing pass.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: April 9, 2013
    Assignee: International Business Machines Corporation
    Inventors: Joachim Keinert, Thomas Ludwig
  • Patent number: 8413088
    Abstract: A method and apparatus for producing a verification of digital circuits are provided. In an exemplary embodiment on the invention, a plurality of verification scopes of an integrated circuit design as defined as part of a verification plan. A plurality of verification runs are executed within two or more verification scopes defined by the verification plan. At least two verification runs are selected to merge verification results together. Like named scenarios are merged together for each verification scope to generate merged verification results that are then stored into a merge database. A verification report is generated for the integrated circuit design from the merged verification results. A merge point may be specified so like named subtrees and subgroups may be merged across different verification scopes of selected verification runs. The merge point may combine check and coverage results obtained during simulation with check and coverage results obtained during formal verification.
    Type: Grant
    Filed: April 17, 2009
    Date of Patent: April 2, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Frank Armbruster, Sandeep Pagey, F. Erich Marschner, Dan Leibovich, Alok Jain, Axel Scherer, Yaron Peri-Glass
  • Patent number: 8413103
    Abstract: Embodiments of a computer system, a method, a graphical user interface and a computer-program product (i.e., software) for use with the computer system are described. A chip designer may use these devices and techniques to configure and monitor the execution of tasks in a user-configurable electronic-design-automation (EDA) flow associated with a circuit or chip design. In particular, using an intuitive and interactive graphical user interface in EDA software, the chip designer can configure and initiate execution of the EDA flow. Then, during execution of EDA tasks in the EDA flow, an execution monitor in the graphical user interface may provide a graphical representation of real-time execution status information for the EDA tasks. Moreover, using the EDA software, the chip designer can debug the circuit or chip design if any errors or problems occur.
    Type: Grant
    Filed: April 15, 2009
    Date of Patent: April 2, 2013
    Assignee: Synopsys, Inc.
    Inventors: Andrew Stanley Potemski, John Scott Tyson, Steven Robert Eustes
  • Patent number: 8407652
    Abstract: A task-based multi-process design synthesis methodology relies on a plurality of child processes to assist a parent process in performing optimizations on an integrated circuit design. Objects from an integrated circuit design are grouped into subsets and assigned to child processes, with each child process performing a transform on each of the objects in the subset assigned to that child process and determining which of the objects in the subset are candidate objects for which performance of the transform has been successful. The child processes then notify the parent process of those objects that qualify as candidate objects, so that the parent process only has to perform the transform on the candidate objects, thereby relieving the parent process from the overhead associated with performing the transform on non-candidate objects for which the transform has been determined by the child processes as not being successful.
    Type: Grant
    Filed: December 20, 2010
    Date of Patent: March 26, 2013
    Assignee: International Business Machines Corporation
    Inventors: Anthony D. Drumm, Jagannathan Narasimhan, Lakshmi N. Reddy, Louise H. Trevillyan, Brian C. Wilson
  • Patent number: 8407656
    Abstract: Disclosed are a design method and structure for a transistor having a relatively large threshold voltage (Vt) variation range due to exacerbated random dopant fluctuation (RDF). Exacerbated RDF and, thereby a relatively large Vt variation range, is achieved through the use of complementary doping in one or more transistor components and/or through lateral dopant non-uniformity between the channel region and any halo regions. Also disclosed are a design method and structure for a random number generator, which incorporates multiple pairs of essentially identical transistors having such a large Vt variation and which relies on Vt mismatch in pairs of those the transistors to generate a multi-bit output (e.g., a unique identifier for a chip or a secret key). By widening the Vt variation range of the transistors in the random number generator, detecting Vt mismatch between transistors becomes more likely and the resulting multi-bit output will be more stable.
    Type: Grant
    Filed: June 24, 2011
    Date of Patent: March 26, 2013
    Assignee: International Business Machines Corporation
    Inventors: Terence B. Hook, Jeffrey B. Johnson
  • Patent number: 8407651
    Abstract: Methods for optimizing design parameters of a circuit are disclosed. In one aspect, an optimization problem includes one or more performance specifications that represent an exponent of a design parameter to be optimized. Various parameters of passive and active circuit devices may be efficiently and accurately optimized as a result. In another aspect, linear performance specifications are included for accurately calculating voltages. In yet other aspects of the invention, three special types of convex optimization problems are disclosed for enabling the above use of exponents of design parameters which provide efficient and accurate calculations of a virtually unlimited array of circuit parameters and performance characteristics.
    Type: Grant
    Filed: August 4, 2010
    Date of Patent: March 26, 2013
    Assignee: Synopsys, Inc.
    Inventors: Mar Hershenson, David M. Colleran
  • Patent number: 8402183
    Abstract: A system and method for coordinating control setting with respect to an automated input/output (I/O) processor. A state machine having a transition algorithm can be configured in association with a storage controller in order to permit multiple entities to safely transmit an I/O request to an I/O device. Specific combinations of control bits associated with a fast path engine can be determined by identifying different modes with respect to the behavior of the fast path engine. Each mode can be assigned as a state with respect to the state machine. An I/O path exception and error condition that can cause transitions between the states can be determined and the transitions can be assigned from one state to another state. A generic logic template can then be configured to govern the transitions with respect to the state machine. The logic can be executed when an event occurs in order to trigger multiple state transition and/or modifications with respect to the hardware control bits of the fast path engine.
    Type: Grant
    Filed: October 6, 2010
    Date of Patent: March 19, 2013
    Assignee: LSI Corporation
    Inventors: Nick Pelis, Larry Rawe
  • Patent number: 8402400
    Abstract: An electric design automation (EDA) tool for generating a design of a system on a field programmable gate array (FPGA) includes a library that includes a processor interface block selectable by a designer to represent a component in the design that is accessible to a processor. The EDA tool also includes a processor interface circuitry generation unit to automatically generate circuitry in the design to support the processor interface block without input from the designer.
    Type: Grant
    Filed: February 18, 2011
    Date of Patent: March 19, 2013
    Assignee: Altera Corporation
    Inventor: Steven Perry
  • Patent number: 8397185
    Abstract: A graphical user aid that may be used for migrating source devices, such as programmable logic designs (PLDs or FPGAs) into target devices, such as equivalent or substitute application-specific integrated circuits (“ASICs”) is provided. A device selector guide is provided for evaluating migration prospects from the source device to the target device before completing the migration.
    Type: Grant
    Filed: May 1, 2012
    Date of Patent: March 12, 2013
    Assignee: Altera Corporation
    Inventors: Steven Perry, Jinyong Yuan, Shih-Yueh Lin, John R. Chase
  • Patent number: 8397184
    Abstract: A library cell is designed, and then the width of the polys is increased, and the polys and contacts are shifted in order to maintain poly-to-poly and contact-to-poly spacing. The method can be used in association with a 45 nm digital library cell. Specifically, a library cell having 40 nm polys is designed, and then the width of each of the polys is increased by 5 nm to 45 nm, and the polys and contacts are shifted in order to maintain poly-to-poly and contact-to-poly spacing. The poly lines and contacts can be shifted by starting at the center and going out radially, or by beginning at the perimeter and moving radially inward. The method can be used with any library cell design which is entirely GDS based, including, for example, 32 nm library cell design.
    Type: Grant
    Filed: October 9, 2008
    Date of Patent: March 12, 2013
    Assignee: LSI Corporation
    Inventor: Richard Schultz
  • Patent number: 8397198
    Abstract: Semiconductor devices and/or structures, and methods for fabricating the same are disclosed. Embodiments of the present invention allow for production of customized products, while also minimizing production steps, avoiding some or all photolithography steps, and reducing overall production costs. Using selective deposition and patterning methods such as printing, to form metal and/or dielectric layer(s) on substrates where one or more device circuit components are pre-made in a factory, but which require further processing to obtain an electrically functional circuit, results in the ability for a user/consumer to make custom, specific and/or unique electrically functional circuits without incurring the cost and complexity of a full fabrication to form and pattern all of the layers.
    Type: Grant
    Filed: December 12, 2011
    Date of Patent: March 12, 2013
    Assignee: Kovio, Inc.
    Inventor: Jiang Li
  • Patent number: 8396696
    Abstract: A circuit is simulated by using distributed computing to obtain a real solution. The circuit may be an entire integrated circuit, portion of an integrated circuit, or a circuit block. A circuit simulation technique of the invention generates a system graph, finds a tree, and partitions the tree into two or more subtrees. The technique identifies global links and local links in the graph. Each subtree may be solved individually using distributed, parallel computing. Using the results for the subtrees, the technique obtains a real solution, branch voltages and currents, for the circuit.
    Type: Grant
    Filed: October 29, 2010
    Date of Patent: March 12, 2013
    Assignee: Worldwide Pro Ltd.
    Inventor: William Wai Yan Ho
  • Publication number: 20130049840
    Abstract: A method and circuit for implementing differential resonant clocking with a DC blocking capacitor, and a design structure on which the subject circuit resides are provided. An on-chip inductor and an on-chip capacitor are connected between a pair of differential active clock load nodes to form a resonant tank circuit. The on-chip inductor has a selected value based upon a value of a load capacitor of the differential active clock load nodes to determine the resonant frequency. The on-chip capacitor has a selected value substantially greater than the value of the load capacitor.
    Type: Application
    Filed: August 23, 2011
    Publication date: February 28, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kenneth A. Van Goor, David I. Sanderson
  • Patent number: 8386971
    Abstract: A method and apparatus for low delay recursive filter design. The method includes determining a predefined filter template with higher magnitude specification than a target filter design, determining at least one relaxation bound on the filter specification utilizing the predefined filter template, specifying at least one constraint for the target filter design utilizing the at least one relaxation bound, and determining a low delay recursive filter design for minimizing the average group delay of the filter utilizing the at least one constraint.
    Type: Grant
    Filed: July 19, 2010
    Date of Patent: February 26, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Mohamed Mansour
  • Patent number: 8386991
    Abstract: A design support apparatus includes: a circuit-data generation unit to generate circuit data based on layout information of a semiconductor integrated circuit; and a parameter determination unit to set a first parameter relating to mechanical stress exerted on a transistor including at least one of a plurality of gates in a diffusion region, wherein the circuit-data generation unit obtains a mobility of the transistor based on the first parameter and reflects the mobility in the circuit data.
    Type: Grant
    Filed: December 22, 2010
    Date of Patent: February 26, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Katsuya Ogata, Hiroyuki Rokugawa