Integrated Circuit Design Processing Patents (Class 716/100)
  • Patent number: 8386979
    Abstract: Methods and apparatuses to design an Integrated Circuit (IC) with a shielding of wires. In at least one embodiment, a shielding mesh of at least two reference voltages (e.g., power and ground) is used to reduce both the capacitive coupling and the inductive coupling in routed signal wires in IC chips. In some embodiments, a type of shielding mesh (e.g., a shielding mesh with a window surrounded by a power ring, or a window with a parser set of shielding wires) is selected to make more routing area available in locally congested areas. In other embodiments, the shielding mesh is used to create or add bypass capacitance. Other embodiments are also disclosed.
    Type: Grant
    Filed: January 22, 2009
    Date of Patent: February 26, 2013
    Assignee: Synopsys, Inc.
    Inventors: Kenneth S. McElvain, William Halpin
  • Patent number: 8386988
    Abstract: A semiconductor integrated circuit includes a first circuit part that is designed under a first corner condition with respect to a process variation, a second circuit part that is designed under a second corner condition narrower than the first condition, and a control part that changes an operating voltage supplied to the first circuit part and the second circuit part according to a delay amount of the first circuit part, and starts the second circuit part when a delay characteristic caused by a change in the operating voltage conforms to a delay characteristic under the second corner condition.
    Type: Grant
    Filed: January 12, 2011
    Date of Patent: February 26, 2013
    Assignee: Renesas Electronics Corporation
    Inventor: Masahiro Nomura
  • Patent number: 8386986
    Abstract: In one embodiment, a temperature controlled attenuator is disclosed having an attenuation circuit and a control circuit. The attenuation circuit includes a series connected attenuation circuit segment and a shunt connected attenuation circuit segment, as well as additional attenuation circuit segments. Each attenuation circuit segment includes a stack of transistors that are coupled to provide the attenuation circuit segment with a variable impedance level having a continuous impedance range. Furthermore, the temperature controlled attenuator includes a temperature controlled circuit that adjusts an attenuation level of the attenuation circuit in accordance to an operating temperature. In this manner, the attenuation level of the temperature controlled attenuator is temperature dependent.
    Type: Grant
    Filed: December 23, 2010
    Date of Patent: February 26, 2013
    Assignee: RF Micro Devices, Inc.
    Inventors: Marcus Granger-Jones, Brad Nelson, Ed Franzwa
  • Patent number: 8381142
    Abstract: A method for designing a system on a target device is described. In one embodiment of the method, a plurality of registers is replaced with at least one register and a timing exception. In one embodiment, the registers in the plurality of registers are in series or substantially in series. In one embodiment, the timing exception is a multi-cycle exception. In one embodiment, the method also includes identifying a critical combinational logic path that is followed or preceded by the plurality of registers. Further, in one aspect, the timing exception is removed and registers are inserted into the critical combinational logic path to account for the removed timing exception. In one embodiment, a network flow algorithm is performed to determine the locations for inserting registers.
    Type: Grant
    Filed: October 9, 2007
    Date of Patent: February 19, 2013
    Assignee: Altera Corporation
    Inventor: Michael D. Hutton
  • Patent number: 8381143
    Abstract: A design structure for a Duty Cycle Correction (DCC) circuit is provide in which pairs of field effect transistors (FETs) in known DCC circuit topologies are replaced with linear resistors coupled to switches of the DCC circuit such that when the switch is open, the input signal is routed through the linear resistors. The linear resistors are more tolerant of process, voltage and temperature (PVT) fluctuations than FETs and thus, the resulting DCC circuit provides a relatively smaller change in DCC correction range with PVT fluctuations than the known DCC circuit topology that employs FETs. The linear resistors may be provided in parallel with the switches and in series with a pair of FETs having relatively large resistance values. The linear resistors provide resistance that pulls-up or pulls-down the pulse width of the input signal so as to provide correction to the duty cycle of the input signal.
    Type: Grant
    Filed: January 27, 2011
    Date of Patent: February 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: David W. Boerstler, Eskinder Hailu, Jieming Qi
  • Publication number: 20130038380
    Abstract: A method and circuit for implementing a chip to chip calibration in a chip stack, for example, with through silicon vias (TSV) stack, and a design structure on which the subject circuit resides are provided. A first chip and a second chip are included within a semiconductor chip stack. The semiconductor chip stack includes a vertical stack optionally provided with Though Silicon Via (TSV) stacking of the chips. At least one of the first chip and the second chip includes a calibration control circuit and a performance indicator circuit coupled to the calibration control circuit to train and calibrate at least one of the first chip and the second chip to provide enhanced performance and reliability for the semiconductor chip stack.
    Type: Application
    Filed: August 11, 2011
    Publication date: February 14, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Edgar R. Cordero, Divya Kumar, Anuwat Saetow, Robert B. Tremaine
  • Patent number: 8375337
    Abstract: Techniques are disclosed for modifying an existing microdevice design to improve its manufacturability. With these techniques, a designer receives manufacturing criteria associated with data in a design. The associated design data then is identified and provided to the microdevice designer, who may choose to modify the design based upon the manufacturing criteria. In this manner, the designer can directly incorporate manufacturing criteria from the foundry in the original design of the microdevice.
    Type: Grant
    Filed: December 12, 2008
    Date of Patent: February 12, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Joseph D Sawicki, Laurence W Grodd, John G Ferguson, Sanjay Dhar
  • Patent number: 8375344
    Abstract: An improved approach is described for analyzing and estimating products having arrays of uncommitted logic, and matching these products to electronic designs. The approach can be applied to any type of product that include arrays of uncommitted logic, such as gate arrays and field programmable gate arrays.
    Type: Grant
    Filed: June 25, 2010
    Date of Patent: February 12, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Miles P. McGowan, Thaddeus Clay McCracken, Joseph P. Jarosz, Jeffrey Kim Ng
  • Publication number: 20130033287
    Abstract: A balanced single-end impedance control system is disclosed. In a particular embodiment, the circuit includes a first transistor coupled to a first output terminal and a second transistor coupled to a second output terminal. The circuit also includes a third transistor and a fourth transistor, where device characteristics of the third transistor substantially match device characteristics of the first transistor and device characteristics of the fourth transistor substantially match device characteristics of the second transistor. The circuit further includes a first control path and a second control path. The first path is coupled to the third transistor and provides a first rail voltage to control a first gate control voltage of the first transistor. The second control path is coupled to the fourth transistor and provides a second rail voltage to control a second gate control voltage of the second transistor.
    Type: Application
    Filed: August 3, 2011
    Publication date: February 7, 2013
    Applicant: QUALCOMM INCORPORATED
    Inventors: Miao Li, Nam V. Dang, Xiaohua Kong
  • Patent number: 8370658
    Abstract: Adaptive control of operating and body bias voltages. In accordance with a first embodiment of the present invention, a desirable operating frequency for the microprocessor is determined. Information stored within and specific to the microprocessor is accessed. The information can comprise coefficients of a quadratic approximation of a frequency-voltage characteristic of the microprocessor for a set of body biasing conditions. An efficient voltage for operating the microprocessor at the desirable operating frequency is computed. The microprocessor is operated at the efficient voltage and the set of body biasing conditions.
    Type: Grant
    Filed: July 14, 2009
    Date of Patent: February 5, 2013
    Inventors: Eric Chen-Li Sheng, Matthew Robert Ward
  • Publication number: 20130026571
    Abstract: A finFET block architecture includes a first set of semiconductor fins having a first conductivity type, and a second set of semiconductor fins having a second conductivity type. An inter-block insulator is placed between outer fins of the first and second sets. A patterned gate conductor layer includes a first plurality of gate traces extending across the set of fins in the first block without crossing the inter-block insulator, and a second plurality of gate traces extending across the set of fins in the second block without crossing the inter-block insulator. Patterned conductor layers over the gate conductor layer are arranged in orthogonal layout patterns, and include an inter-block connector arranged to connect gate traces in the first and second blocks.
    Type: Application
    Filed: July 29, 2011
    Publication date: January 31, 2013
    Applicant: Synopsys, Inc.
    Inventors: JAMIL KAWA, VICTOR MOROZ, DEEPAK SHERLEKAR
  • Publication number: 20130026646
    Abstract: A method for forming passivated through wafer vias, passivated through wafer via structures, and passivated through wafer via design structures. The method includes: forming a through wafer via in a semiconductor substrate, the through wafer via comprising an electrical conductor extending from a top of the semiconductor substrate to a bottom surface of the semiconductor substrate; and forming a doped layer abutting all sidewalls of the electrical conductor, the doped layer of a same dopant type as the semiconductor substrate, the concentration of dopant in the doped layer greater than the concentration of dopant in the semiconductor substrate, the doped layer intervening between the electrical conductor and the semiconductor substrate.
    Type: Application
    Filed: July 29, 2011
    Publication date: January 31, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: James W. Adkisson, Jeffrey P. Gambino, Mark D. Jaffe, Alvin J. Joseph
  • Publication number: 20130026572
    Abstract: A finFET block architecture suitable for use of a standard cell library, is based on an arrangement including a first set of semiconductor fins in a first region of the substrate having a first conductivity type, and a second set of semiconductor fins in a second region of the substrate, the second region having a second conductivity type. A patterned gate conductor layer including gate traces in the first and second regions, arranged over channel regions of the first and second sets of semiconductor fins is used for transistor gates. Patterned conductor layers over the gate conductor layer are arranged in orthogonal layout patterns, and can include a plurality of floating power buses over the fins in the first and second regions.
    Type: Application
    Filed: July 29, 2011
    Publication date: January 31, 2013
    Applicant: Synopsy, Inc.
    Inventors: JAMIL KAWA, Victor Moroz, Deepak Sherlekar
  • Patent number: 8365103
    Abstract: Systems and methods for creating and implementing two-dimensional (2D), image-based design rules (IBDRs) are disclosed. Techniques for creating 2D IBDR can include identifying a search pattern that is representative of a 2D pattern of interest of a design, creating a pattern representation based on the search pattern, defining an anchor point for the pattern representation, and assigning weights to elements of the pattern representation. The 2D MDR can be used in systems and method for searching a design by comparing the 2D IBDR to the design. A number of 2D IBDRs can be merged into a subset of similar 2D IBDRs by characterizing desired rule geometries, sorting the 2D IBDRs into groups according to the desired rule geometries, merging the groups of 2D IBDRs into a single representative search pattern. Additionally, standard design rules can be created from the disclosed 2D IBDRs.
    Type: Grant
    Filed: December 22, 2009
    Date of Patent: January 29, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Frank E. Gennari, Ya-Chieh Lai, Matthew W. Moskewicz, Michael C. Lam, Gregory R. McIntyre
  • Publication number: 20130021186
    Abstract: Circuitry and method for digital-to-analog current signal conversion with phase interpolation. For an n-bit digital-to-analog converter (DAC), the number 2n control bits normally required can be reduced to 2(n-1) by jointly controlling pairs of the current sources with one of the 2(n-1) current control bits and inverses of two other ones of the 2(n-1) current control bits.
    Type: Application
    Filed: July 21, 2011
    Publication date: January 24, 2013
    Applicant: National Semiconductor Corporation
    Inventors: Arlo J. Aude, Steven E. Finn
  • Publication number: 20130021081
    Abstract: Differential signal detection circuitry with an integrated reference voltage. The reference voltage is added as an offset to the output voltage, and its integration ensures that variations in the reference voltage closely track variations in the signal. Accordingly, the detection threshold for the signal being detected remains more consistent over variations in the circuit manufacturing process, power supply voltage and operating temperature.
    Type: Application
    Filed: July 21, 2011
    Publication date: January 24, 2013
    Applicant: National Semiconductor Corporation
    Inventors: Arlo J. Aude, Soumya Chandramouli
  • Patent number: 8359554
    Abstract: A method of designing and verifying 3D integrated circuits (3D IC) including providing a first layout corresponding to a first device of a 3D IC. The first layout includes a first interface layer. A second layout corresponding to a second device of the 3D IC is also provided. The second layout includes a second interface layer. A verification of the 3D is performed by verifying the first and second interface layers. The verification includes performing at least one of a design rule check (DRC) or a layout-versus-schematic (LVS) on the first and/or second interface layers.
    Type: Grant
    Filed: October 14, 2011
    Date of Patent: January 22, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Hsing Wang, Chih Sheng Tsai, Ying-Lin Liu, Kai-Yun Lin
  • Patent number: 8359558
    Abstract: An integrated circuit design optimization procedure to modify a cell feature, such as gate length, models changes in delay as a result of the modification. In the delay change calculation, a characteristic of an event in cell switching behavior, such as the output short-circuit voltage VSC, is determined for the modified cell, where changes in the determined characteristic correlate with changes in delay of the cell due to the modification. Next, a value for delay of the modified cell is determined as a function of the determined characteristic of the event. The procedure can be applied after placement and routing. A timing-constrained, leakage power reduction is described using the delay change model.
    Type: Grant
    Filed: March 16, 2010
    Date of Patent: January 22, 2013
    Assignee: Synopsys, Inc.
    Inventors: Qian-Ying Tang, Qiang Chen, Sridhar Tirumala
  • Patent number: 8356195
    Abstract: An architecture verifying apparatus includes an input unit receiving a time limit of a semiconductor integrated circuit including modules and buses, and performance specifications of the modules, a bus monitor acquiring bus transactions issued to the buses by the modules, a module monitor acquiring input transactions used when the module inputs data, processing information indicating processing contents and processing time used when the module processes the data, and output transactions used when the module outputs the processed data, a first architecture generator associating the processing information with the bus transaction, the input transaction, the processing information, and the output transaction, to generate a first architecture fulfilling the time limit, a second architecture generator changing the processing time of the first architecture, to generate a second architecture fulfilling the time limit and having power consumption lower than power consumption of the first architecture, and an output u
    Type: Grant
    Filed: March 23, 2010
    Date of Patent: January 15, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Atsushi Kageshima
  • Patent number: 8355502
    Abstract: A security circuit for a reprogrammable logic IC includes an evolved circuit that ties the performance of the security circuit to the physical properties of that particular reprogrammable logic IC. The security circuit can be a decryption and/or encryption circuit that decrypts and/or encrypts, respectively, a configuration bitstream for the IC. Because of the link between the performance of the security circuit and the physical properties of the IC, the security circuit cannot be used in other ICs. For example, an encrypted bitstream that can be decrypted by the security circuit in a first IC will typically not be decrypted by the same security circuit in a second IC, since the physical properties of the two ICs will typically be different. The evolved circuit can comprise a portion of the security circuit, such as a security key generator, or it can comprise the full security circuit.
    Type: Grant
    Filed: April 5, 2005
    Date of Patent: January 15, 2013
    Assignee: Xilinx, Inc.
    Inventors: Adam P. Donlin, Stephen M. Trimberger
  • Patent number: 8350343
    Abstract: Disclosed are embodiments of field effect transistors (FETs) having suppressed sub-threshold corner leakage, as a function of channel material band-edge modulation. Specifically, the FET channel region is formed with different materials at the edges as compared to the center. Different materials with different band structures and specific locations of those materials are selected in order to effectively raise the threshold voltage (Vt) at the edges of the channel region relative to the Vt at the center of the channel region and, thereby to suppress of sub-threshold corner leakage. Also disclosed are design structures for such FETs and method embodiments for forming such FETs.
    Type: Grant
    Filed: January 31, 2012
    Date of Patent: January 8, 2013
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, Edward J. Nowak
  • Patent number: 8352230
    Abstract: Electrical finite element analysis is carried out on a circuit design, which includes devices, to determine an acceptable power-performance envelope and to obtain data for circuit temperature mapping. A circuit temperature map is developed for the circuit design, based on the data for circuit temperature mapping. Thermo-mechanical finite element analysis is carried out on a package design for the circuit design, based on the circuit temperature map, to determine a package reliability limit based on thermal stress considerations. It is determined whether the package design and the circuit design jointly satisfy: (i) power-performance conditions specified in the acceptable power-performance envelope; and (ii) the package reliability limit based on the thermal stress considerations.
    Type: Grant
    Filed: March 12, 2010
    Date of Patent: January 8, 2013
    Assignee: International Business Machines Corporation
    Inventors: Keunwoo Kim, Soojae Park
  • Patent number: 8352232
    Abstract: Disclosed are apparatus, methods and software that implement a partial element equivalent circuit (PEEC) method having global basis functions on cylindrical coordinates to determine wide-band resistance, inductance, capacitance, and conductance from a large number of three-dimensional interconnections in order to provide for the electrical design of system-in-package (SIP) modules, and the like. The apparatus, methods and software use a modal equivalent network from mixed potential integral equation with cylindrical conduction and accumulation mode basis functions, which reduces the matrix size for large three-dimensional interconnection problems. Combined with these modal basis functions, the mixed potential integral equations describe arbitrary skin and proximity effects, and allow determination of partial impedance and admittance values. Additional enhancement schemes further reduces the cost for computing the partial inductances.
    Type: Grant
    Filed: October 22, 2008
    Date of Patent: January 8, 2013
    Assignee: Georgia Tech Research Corporation
    Inventors: Ki Jin Han, Madhavan Swaminathan
  • Patent number: 8350594
    Abstract: Enabling scheduling of single cycle as well as scheduling multi-cycle rules in a synchronous digital system whose behavior is governed by an asynchronous system specification (e.g., a TRS) provides a way to allow complex actions at state transitions of the asynchronous system without requiring that the complex actions be synthesized in logic that must be performed in a single clock cycle. For example, a relatively infrequent action may include a critical timing path that determines the maximum clock frequency of the system. By allowing that infrequent action to take multiple clock cycles, even if that action takes more absolute time, other actions may take less absolute time by virtue of being able to operate the synchronous system at a higher clock rate. The overall system may then operate more quickly (e.g., as measured by the average number of rules applied per unit of absolute time).
    Type: Grant
    Filed: November 9, 2009
    Date of Patent: January 8, 2013
    Assignee: Massachusetts Institute of Technology
    Inventors: Michal Karczmarek, Arvind Mithal, Muralidaran Vijayaraghavan
  • Publication number: 20130003447
    Abstract: A circuit includes a degeneration p-channel metal-oxide-semiconductor (PMOS) transistor, a load PMOS transistor, and a clamp transistor configured to clamp a voltage applied to a resistance based memory element during a sensing operation. A gate of the load PMOS transistor is controlled by an output of a not-AND (NAND) circuit.
    Type: Application
    Filed: June 30, 2011
    Publication date: January 3, 2013
    Applicants: Industry-Academic Cooperation Foundation, Yonsei University, QUALCOMM Incorporated
    Inventors: Seong-Ook Jung, Jisu Kim, Kyungho Ryu, Jung Pill Kim, Seung H. Kang
  • Patent number: 8347262
    Abstract: A method, computer-readable medium and system are described for deriving a schematic diagram representative of an integrated circuit (1C) comprising a plurality of circuit elements. In general, the method, computer-readable medium and system are configured to receive as input a working schematic diagram identifying at least some of the circuit elements, and at least one existing schematic diagram from one or more libraries thereof. Based on this input, at least a portion of the working schematic diagram that matches at least a portion of the at least one existing schematic diagram is identified and replaced, thereby forming a revised schematic diagram.
    Type: Grant
    Filed: April 18, 2008
    Date of Patent: January 1, 2013
    Assignee: Semiconductor Insights Inc.
    Inventors: Vyacheslav Zavadsky, Edward Keyes, Shane Edmonds, Alexei Novikov
  • Patent number: 8347123
    Abstract: Exemplary techniques for turning off the clock signal to flip flops are described, which may reduce power consumption by electronic devices. In an implementation, a clock-gating logic turns off the clock signal to a flip flop when a data input of the flip flop remains untoggled. The reduction in power consumption is envisioned to also reduce heat generation.
    Type: Grant
    Filed: December 8, 2009
    Date of Patent: January 1, 2013
    Assignee: LSI Corporation
    Inventor: Richard Thomas Schultz
  • Publication number: 20120326772
    Abstract: An integrated circuit includes a main power rail, a ground power rail as well as a virtual main power rail and a virtual ground power rail. Combinatorial logic circuitry is connected to draw its power from the virtual main power rail and the virtual ground power rail. Signal value storage circuitry is connected to draw its power from one of the main power rail and the ground power rail with the other power connection being to a virtual rail. The integrated circuit has an operational mode, a retention mode and a power off mode. In the retention mode, the voltage difference across the combinatorial logic circuitry is a low power voltage difference insufficient to support data processing operations whereas the voltage difference across the signal value storage circuitry is higher and is sufficient to support signal value retention within the signal value storage circuitry.
    Type: Application
    Filed: June 24, 2011
    Publication date: December 27, 2012
    Applicant: ARM Limited
    Inventors: James Edward Myers, David Walter Flynn
  • Patent number: 8341568
    Abstract: Methods and systems for simulating logic may translate logic design into executable code for a multi-processor based parallel logic simulation device. A system may implement one or more parallel execution methods, which may include IPMD, MPMD, and/or DDMT.
    Type: Grant
    Filed: July 21, 2010
    Date of Patent: December 25, 2012
    Assignee: ET International, Inc.
    Inventors: Fei Chen, Guang R. Gao
  • Patent number: 8341563
    Abstract: Aspects of the disclosure provide a method to design integrated circuit (IC) using power gating techniques. The method includes determining a placement of a plurality of power gating cells and at least a circuit block in an IC layout. On the IC layout, a first set of power gating cells and a second set of power gating cells are separated by the circuit block with a distance longer than a threshold. Further, the method includes optimizing a stitching order of the power gating cells for the placement to reduce a number of instances that the power gating cells in the first set and the power gating cells in the second set are neighboring power gating cells in the stitching order.
    Type: Grant
    Filed: November 18, 2009
    Date of Patent: December 25, 2012
    Assignee: Marvell Israel (M.I.S.L) Ltd.
    Inventor: Yoav Kretchmer
  • Patent number: 8336007
    Abstract: A verifiable duplex multiplier circuit is provided. In one mode, the circuitry of the duplex multiplier functions as an N-bit×N-bit multiplier. In another mode, the circuitry of the duplex multiplier operates as dual N/2-bit×N/2-bit multipliers. Because the same circuitry can be used to serve as both an N×N multiplier and as dual N/2×N/2 multipliers, integrated circuit resources are conserved. The duplex multiplier circuitry uses an architecture that can be automatically synthesized using a logic synthesis tool. Verification operations can be performed using logic-equivalency error checking tools. Exhaustive verification is possible using this approach, even when relatively large duplex multipliers (e.g., duplex multipliers with N values of 16 or more) are used.
    Type: Grant
    Filed: January 5, 2012
    Date of Patent: December 18, 2012
    Assignee: Altera Corporation
    Inventor: Guy Dupenloup
  • Patent number: 8332800
    Abstract: A method for identifying and removing redundant signal paths includes determining whether a given input to a logic circuit is coupled to both an input of a cone of logic of a data input of a clocked state element and a clock gate circuit that is coupled to disable a clock input to the clocked state element. The method may include removing the given input from the cone of logic such that the given input is no longer coupled to the input of the cone of logic responsive to determining that the given input is coupled to both the input of the cone of logic and the clock gate circuit. The method may include preserving the given input to the clock gate circuit such that the given input continues to be coupled to the clock gate circuit after being removed from the input of the cone of logic.
    Type: Grant
    Filed: June 3, 2011
    Date of Patent: December 11, 2012
    Assignee: Apple Inc.
    Inventor: Ben D. Jarrett
  • Patent number: 8332785
    Abstract: In an analyzing apparatus, an input accepting unit accepts input information including an analysis condition of a circuit element (circuit) to be analyzed, an analysis-SPICE-file generating unit generates an analysis SPICE file based on the input information, and an analysis-SPICE-file executing unit executes the analysis SPICE file, thereby analyzing the characteristic of the circuit element.
    Type: Grant
    Filed: June 22, 2009
    Date of Patent: December 11, 2012
    Assignee: Fujitsu Limited
    Inventor: Takashi Ohba
  • Patent number: 8332789
    Abstract: A method may include receiving an input from an optimization control that indicates a value along a scale, wherein the value is indicative of a design tradeoff between at least optimization for a first parameter of an electrical design and an optimization for a second parameter of the electrical design, wherein the value places an emphasis on the first parameter and an emphasis on the second parameter such that when the value on the scale is closer to the first parameter a larger emphasis is placed on the first parameter of the electrical design and when the value on the scale is closer to the second parameter a larger emphasis is placed on the second parameter of the electrical design. The method may further include choosing components for the electrical design based on the value indicated using the optimization control, the emphases affecting the components selected for the electrical design.
    Type: Grant
    Filed: May 10, 2011
    Date of Patent: December 11, 2012
    Assignee: National Semiconductor Corporation
    Inventors: Jeffrey Robert Perry, Malcolm Humphrey, Mark Davidson, Dien Mac, Denislav D. Petkov
  • Patent number: 8332794
    Abstract: A programmable transistor array circuit is disclosed comprising a semiconductor substrate; and a plurality of basic transistor units (BTUs) arranged in rows and columns of uniformly spaced cells, the BTUs further comprising PMOS transistor units (PTUs), NMOS transistor units (NTUs) and dummy transistor units (DTUs) each BTU having conductors arranged in a single direction running through the BTUs and the conductors being uniformly spaced with respect to each other. The arrangement of the BTUs is subject to restricted design rules. Logical transistor units (LTUs) are formed from the BTUs using first and second layers of metallization. Methods for producing integrated circuits are disclosed forming programmable transistor arrays and implementing customer specified system designs upon the programmable transistor arrays.
    Type: Grant
    Filed: October 23, 2009
    Date of Patent: December 11, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Oscar M. K. Law, Kuo H. Wu
  • Patent number: 8327311
    Abstract: Approaches for generating functions for activating processes in a simulation model. At least two mutually exclusive sub-ranges of a plurality of bits of a net of the circuit design are determined. A respective process set associated with each sub-range of the plurality of bits is determined. The specification of a wakeup function includes for each sub-range of the plurality of bits, a test for a change in value of at least one bit in the sub-range of the plurality of bits, and an initiation of each process in the associated process set in response to a detected change in value of the at least one bit. The specification also includes control, responsive to a detected change in value of at least one bit in one of the sub-ranges, that bypasses a test for a change in value of at least one bit in at least one other of the sub-ranges.
    Type: Grant
    Filed: July 21, 2011
    Date of Patent: December 4, 2012
    Assignee: Xilinx, Inc.
    Inventors: Hem C. Neema, Sonal Santan, Kumar Deepak
  • Patent number: 8326018
    Abstract: Aspects of the invention relate to pattern matching of layout design data. Layout design data is searched to identify configurations of geometric elements that match a reference pattern based on an anchor edge in the reference pattern. An edge in a search window area matching the anchor edge may first be selected as anchor matching edge. A search portion of the reference pattern is then compared with the region of the search window area corresponding to the selected anchor matching edge.
    Type: Grant
    Filed: May 29, 2010
    Date of Patent: December 4, 2012
    Assignee: Mentor Graphics Corporation
    Inventors: Mark C Simmons, Oberdan Otto
  • Patent number: 8327309
    Abstract: A system on a chip comprises a plurality of circuit blocks, a programmable processor and a communication circuit. Design information includes connection data including an identification of the direct mutual connection and first and second circuit blocks coupled by the direct mutual connection. An additional register is added to the system on a chip coupled to the direct mutual connection. Verification programs are used includescomprising instructions for the processor to access registers in the second one of the circuit blocks, to use the connection data, or information derived therefrom to select the first one of the circuit blocks, and to issue the standardized call to the interface program of the selected further one of the circuit blocks.
    Type: Grant
    Filed: August 8, 2008
    Date of Patent: December 4, 2012
    Assignee: Synopsys, Inc.
    Inventors: Jan Stuyt, Bernard W. De Ruyter, Roelof P. De Jong, Pieter Struik, Joris H. J. Geurts
  • Patent number: 8327299
    Abstract: Systems and methods for creating and implementing two-dimensional (2D), image-based design rules (IBDRs) are disclosed. Techniques for creating 2D IBDR can include identifying a search pattern that is representative of a 2D pattern of interest of a design, creating a pattern representation based on the search pattern, defining an anchor point for the pattern representation, and assigning weights to elements of the pattern representation. The 2D MDR can be used in systems and method for searching a design by comparing the 2D IBDR to the design. A number of 2D IBDRs can be merged into a subset of similar 2D IBDRs by characterizing desired rule geometries, sorting the 2D IBDRs into groups according to the desired rule geometries, merging the groups of 2D IBDRs into a single representative search pattern. Additionally, standard design rules can be created from the disclosed 2D IBDRs.
    Type: Grant
    Filed: December 22, 2009
    Date of Patent: December 4, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Frank E. Gennari, Ya-Chieh Lai, Matthew W. Moskewicz, Michael C. Lam, Gregory R. McIntyre
  • Patent number: 8326344
    Abstract: A high-frequency device having high-frequency-signal-treating circuits in and on a laminate substrate comprising pluralities of dielectric layers having conductor patterns, the high-frequency-signal-treating circuits having amplifier circuits and switch circuits; terminals including input and output terminals of high-frequency signals, the power supply terminals of the amplifier circuits and the power supply terminals of the switch circuits being formed on one main surface of the laminate substrate; power supply lines each having one end connected to each of the power supply terminals of the amplifier circuits and power supply lines each having one end connected to each of the power supply terminals of the switch circuits being formed on one dielectric layer to constitute a power supply line layer; a first ground electrode being arranged on the side of the main surface with respect to the power supply line layer, the first ground electrode overlapping at least part of the power supply lines in a lamination di
    Type: Grant
    Filed: December 27, 2007
    Date of Patent: December 4, 2012
    Assignee: Hitachi Metals, Ltd.
    Inventors: Shigeru Kemmochi, Keisuke Fukamachi, Kazuhiro Hagiwara
  • Patent number: 8316341
    Abstract: A system comprises an input and a hardware description language (HDL) module. The input receives design specifications for a custom circuit board. The design specifications are selected from predetermined design options for custom circuit boards. The hardware description language (HDL) module generates HDL corresponding to the design specifications and outputs the HDL to a circuit board producer.
    Type: Grant
    Filed: September 17, 2009
    Date of Patent: November 20, 2012
    Assignee: Emerson Network Power—Embedded Computing, Inc.
    Inventors: Douglas L. Sandy, Shlomo Pri-Tal
  • Patent number: 8311785
    Abstract: Methods and apparatus to minimize saturation in a ground fault detection device are disclosed. An example method includes connecting a capacitor simulator to a node of the ground fault detector device to prevent saturation, and monitoring power-line conductors for ground fault conditions with the ground fault detector device. An example apparatus to simulate a saturation capacitance in a ground fault device includes a sense coil induced by power-line conductors, and at least one of an amplifier or a current detector including an input connected to the sense coil and an output connected to a ground fault detector. The example apparatus also includes a saturation capacitor simulator connected to a node of at least one of the amplifier or the current detector to prevent saturation.
    Type: Grant
    Filed: October 24, 2007
    Date of Patent: November 13, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Artur J. Lewinski, Ross Teggatz, Thomas Edward Cosby
  • Patent number: 8305126
    Abstract: A method for determining flop circuit types includes performing a layout of an IC design including arranging master and slave latches of each of a plurality of flops to receive first and second clock signals, respectively. The initial IC design may then be implemented (e.g., on a silicon substrate). After implementation, the IC may be operated in first and second modes. In the first mode, the master latch of each flop is coupled to receive a first clock signal. In the second mode, the first clock signal is inhibited and the master latch is held transparent. The slave latch of each flop operates according to a second clock signal in both the first and second modes. The method further includes determining, for each flop, whether that flop is to operate as a master-slave flip-flop or as a pulse flop in a subsequent revision of the IC.
    Type: Grant
    Filed: January 13, 2011
    Date of Patent: November 6, 2012
    Assignee: Oracle International Corporation
    Inventors: Alan P. Smith, Robert P. Masleid, Georgios Konstadinidis
  • Patent number: 8307313
    Abstract: Mechanisms are provided in a design environment for minimizing memory array representations for enhanced synthesis and verification. The design environment comprises one mechanism to compress the width of arrays using disconnected pin information. The design environment comprises another mechanism to simplify the enable conditions of array ports using “don't care” computations. The design environment comprises yet another mechanism to reduce address pins from an array through analysis of limitations of readable addresses.
    Type: Grant
    Filed: May 7, 2010
    Date of Patent: November 6, 2012
    Assignee: International Business Machines Corporation
    Inventors: Jason R. Baumgartner, Michael L. Case, Robert L. Kanzelman, Hari Mony
  • Patent number: 8307311
    Abstract: A system and method for assisting printed circuit board design are characterized by a circuit pre-configuration interface capable of synchronously performing circuit design and performing pre-configuration layout of electronic parts in the circuitry to thereby solve a known problem, wherein engineers spend considerable time arranging electronic parts at a late stage due to layout engineers' unfamiliarity with a circuit's characteristics. The circuit pre-configuration interface also directly adjusts and modifies electronic parts in the finalized circuitry, thereby providing a data exchange platform for the circuit design software and circuit layout software to increase the circuit layout efficiency.
    Type: Grant
    Filed: August 31, 2009
    Date of Patent: November 6, 2012
    Assignee: Askey Computer Corporation
    Inventors: Ting-Lin Chang, Ching-Feng Hsieh
  • Patent number: 8307314
    Abstract: A write error verification method of a writing apparatus verifying a write error after a write operation being started in the writing apparatus to which layout data containing a figure pattern to be formed is input and which forms the figure pattern on a target object based on the layout data input, the write error verification method includes: if a write error occurs in a process between input of the layout data into the writing apparatus and inspection of the target object on which the figure pattern is formed, selecting a part of the layout data necessary for operation of a function that has caused the write error; extracting parts of the layout data corresponding to a selected part of the layout data for all of a plurality of portions of the target object if a pattern indicated by the selected part of the layout data is arranged at the plurality of portions of the target object; creating verification data by deleting at least one parts extracted for at least one portions other than a portion that has caus
    Type: Grant
    Filed: May 26, 2010
    Date of Patent: November 6, 2012
    Assignee: NuFlare Technology, Inc.
    Inventor: Akihito Anpo
  • Patent number: 8302063
    Abstract: A method of integrated circuit design and, more particularly, a method and system to optimize semiconductor products for power, performance, noise, die area, and cost through use of variable power supply voltage compression. The method is implemented in a computer-based tool and includes: embedding relationships in an optimization tool running on a computing device, wherein the relationships are based at least partly on performance, power-supply noise, die area, and power; inputting a set of product data and a set of technology data in the optimization tool running on the computing device; and determining product design parameters including power supply voltage, switching-noise-induced power supply voltage variation, and decap area. The determining is based on the relationships, the product data, and the technology data and is performed using the computing device running the optimization tool.
    Type: Grant
    Filed: May 18, 2010
    Date of Patent: October 30, 2012
    Assignee: International Business Machines Corporation
    Inventors: Jeanne P. Bickford, Umberto Garofano, James E. Jasmin, Ivan L. Wemple, Tad J. Wilder
  • Patent number: 8302066
    Abstract: A value held in storage elements coupled to a clock buffer and variably set with a threshold voltage is read out in a state where an analyzing target circuit within an IC operates. An analyzing process specifies an impact of noise in a power supply or ground voltage of the clock buffer and a location where the impact is large, based on the threshold voltage and position information of the storage element from which the read out value has an inverted relationship to the set logic value and each storage element that is a read target. A constraint condition for placement of constituent elements of the IC and routing therein is created from results of the analyzing process, and a re-placement or re-routing process re-places or re-routes the constraint condition to reduce the noise.
    Type: Grant
    Filed: January 11, 2011
    Date of Patent: October 30, 2012
    Assignee: Fujitsu Limited
    Inventor: Kotaro Kishi
  • Patent number: 8302039
    Abstract: Described herein are methods and systems for secure exchange of information related to electronic design automation. Information deemed sensitive and otherwise worthy of protection may be secured by methods such as encryption, obfuscation and other security measures. The secured information may be provided to an electronic design automation tool for processing without revealing at least some of the secured information. For instance, rule files related to integrated circuit manufacturability may be selectively annotated to indicate portions thereof deserving of protection. An encryption tool may be used to secure the information so indicated and generate a file comprising secured information related to electronic design automation. An electronic design automation tool may then unlock and use the secured information without revealing the same.
    Type: Grant
    Filed: April 12, 2010
    Date of Patent: October 30, 2012
    Assignee: Mentor Graphics Corporation
    Inventors: John G. Ferguson, Fedor G. Pikus, Kyohei Sakajiri, Laurence W. Grodd
  • Patent number: 8302045
    Abstract: An electronic device and method for inspecting electrical rules of circuit boards includes selecting at least two design files that record electrical rules of the circuit boards and searching the electrical rules in the selected design files using preset parameter keywords. Same electrical rules of the selected design files are acquired by comparing the electrical rules in the selected design files. The same electrical rules and corresponding parameter values are input to a comparison table, and the comparison table is output.
    Type: Grant
    Filed: December 13, 2010
    Date of Patent: October 30, 2012
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventors: Yung-Chieh Chen, Hsien-Chuan Liang, Shin-Ting Yen, Shen-Chun Li, Shou-Kuo Hsu