Post-treatment (epo) Patents (Class 257/E21.241)
  • Publication number: 20110097872
    Abstract: A first substrate of single-crystal silicon within which is formed an embrittled layer and over a surface of which is formed a first insulating film is provided; a second insulating film is formed over a surface of a second substrate; at least one surface of either the first insulating film or the second insulating film is exposed to a plasma atmosphere or an ion atmosphere, and that surface of the first insulating film or the second insulating film is activated; the first substrate and the second substrate are bonded together with the first insulating film and the second insulating film interposed therebetween; a single-crystal silicon film is separated from the first substrate at an interface of the embrittled layer of the first substrate, and a thin film single-crystal silicon film is formed over the second substrate with the first insulating film and the second insulating film interposed therebetween.
    Type: Application
    Filed: January 7, 2011
    Publication date: April 28, 2011
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Shunpei YAMAZAKI, Hideto OHNUMA
  • Publication number: 20110049641
    Abstract: In sophisticated semiconductor devices, an efficient adjustment of an intrinsic stress level of dielectric materials, such as contact etch stop layers, may be accomplished by selectively exposing the dielectric material to radiation, such as ultraviolet radiation. Consequently, different stress levels may be efficiently obtained without requiring sophisticated stress relaxation processes based on ion implantation, which typically leads to significant device failures.
    Type: Application
    Filed: August 24, 2010
    Publication date: March 3, 2011
    Inventors: Jan Hoentschel, Uwe Griebenow, Roman Boschke
  • Publication number: 20110034038
    Abstract: Methods for forming or patterning nanostructure arrays are provided. The methods involve formation of arrays on coatings comprising nanostructure association groups, formation of arrays in spin-on-dielectrics, solvent annealing after nanostructure deposition, patterning using resist, and/or use of devices that facilitate array formation. Related devices for forming nanostructure arrays are also provided, as are devices including nanostructure arrays (e.g., memory devices).
    Type: Application
    Filed: June 29, 2010
    Publication date: February 10, 2011
    Applicant: NANOSYS, Inc.
    Inventors: Jian Chen, Karen Chu Cruden, Xiangfeng Duan, Chao Liu, J. Wallace Parce
  • Patent number: 7867797
    Abstract: In a method of fabricating organic light emitting diode display, a planarization layer is annealed, cured, provided with an ashing treatment, and surface-treated to reduce roughness of the planarization layer. Therefore, it is possible to improve reduce problems such as a decrease in reflectivity and variation of color coordinates of the organic light emitting diode display due to the roughness of the planarization layer.
    Type: Grant
    Filed: September 12, 2008
    Date of Patent: January 11, 2011
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Soo-Beom Jo, Jong-Mo Yeo, Jong-Hoon Son, In-Young Jung, Kyung-Jin Yoo, Dae-Hyun No, Do-Hyun Kwon, Choong-Youl Im
  • Patent number: 7858535
    Abstract: Methods for reducing and inhibiting defect formation on silicon dioxide formed by atomic layer deposition (ALD) are disclosed. Defect reduction is accomplished by performing processing on the silicon dioxide subsequent to deposition by ALD. The post-deposition processing may include at least one of a pump/purge cycle and a water exposure cycle performed after formation of the silicon dioxide on a substrate.
    Type: Grant
    Filed: May 2, 2008
    Date of Patent: December 28, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Shyam Surthi
  • Patent number: 7833913
    Abstract: A method is provided for forming doped hafnium zirconium based films by atomic layer deposition (ALD) or plasma enhanced ALD (PEALD). The method includes disposing a substrate in a process chamber and exposing the substrate to a gas pulse containing a hafnium precursor, a gas pulse containing a zirconium precursor, and a gas pulse containing one or more dopant elements. The dopant elements may be selected from Group II, Group XIII, silicon, and rare earth elements of the Periodic Table. Sequentially after each precursor and dopant gas pulse, the substrate is exposed to a gas pulse containing an oxygen-containing gas, a nitrogen-containing gas, or an oxygen- and nitrogen-containing gas. In alternative embodiments, the hafnium and zirconium precursors may be pulsed together, and either or both may be pulsed with the dopant elements. The sequential exposing steps may be repeated to deposit a doped hafnium zirconium based film with a predetermined thickness.
    Type: Grant
    Filed: March 20, 2007
    Date of Patent: November 16, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Robert D. Clark
  • Publication number: 20100283132
    Abstract: The invention relates to microelectronics, more particularly, to methods of manufacturing solid-state devices and integrated circuits utilizing microwave plasma enhancement under conditions of electron cyclotron resonance (ECR), as well as to use of plasma treatment technology in manufacturing of different semiconductor structures. Also proposed are semiconductor device and integrated circuit and methods for their manufacturing. Technical result consists in improvement of reproducibility parameters of semiconductor structures and devices processed, enhancement of devices parameters, elimination of possibility of defects formation in different regions, and speeding-up of the treatment process.
    Type: Application
    Filed: November 9, 2009
    Publication date: November 11, 2010
    Applicant: OBSCHESTVO S OGRANICHENNOI OTVETSTVENNOSTJU EPILAB
    Inventors: Sergei Jurievich Shapoval, Vyacheslav Aleksandrovich Tulin, Valery Evgenievich Zemlyakov, Jury Stepanovich Chetverov, Vladimir Leonidovich Gurtovoi
  • Patent number: 7816253
    Abstract: When an interconnect structure is built on porous ultra low k (ULK) material, the bottom of the trench and/or via is usually damaged by a following metallization process which may be suitable for dense higher dielectric materials. Embodiment of the present invention may provide a method of forming an interconnect structure on an inter-layer dielectric (ILD) material. The method includes steps of treating an exposed area of said ILD material to create a densified area, and metallizing said densified area.
    Type: Grant
    Filed: March 23, 2006
    Date of Patent: October 19, 2010
    Assignee: International Business Machines Corporation
    Inventors: Shyng-Tsong Chen, Qinghuang Lin, Kelly Malone, Sanjay Mehta, Terry A. Spooner, Chih-Chao Yang
  • Publication number: 20100227479
    Abstract: Provided are a semiconductor device and a method of fabricating the same. The method includes forming a metal nitride layer and a metal oxide layer on a semiconductor substrate to be in contact with each other, and annealing the substrate including the metal nitride layer and the metal oxide layer to form a metal oxynitride layer.
    Type: Application
    Filed: March 4, 2010
    Publication date: September 9, 2010
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Sunjung Kim, JongCheol Lee, Bonyoung Koo, Wansik Hwang, Joon Gon Lee, JungHyeon Kim
  • Publication number: 20100221921
    Abstract: Methods of forming patterns in semiconductor devices are provided including forming first patterns spaced apart from one another on an object structure. A first sacrificial layer is formed conformally on the first patterns and the object structure. A second pattern is formed on a sidewall of the first sacrificial layer, the second pattern having a height smaller than that of the first pattern from an upper surface of the object structure. The first patterns are selectively removed to form an opening that exposes the object structure. A third pattern is formed on a sidewall of the opening.
    Type: Application
    Filed: March 2, 2010
    Publication date: September 2, 2010
    Inventors: Yong-Hyun Kwon, Jun Seo, Jae-Seung Hwang, Ji-Young Lee
  • Publication number: 20100190345
    Abstract: A semiconductor device having fins and a method of manufacture are provided. A patterned mask is formed over a substrate. Trenches are formed in the substrate and the trenches are filled with a dielectric material. Thereafter, the patterned mask is removed and one or more etch processes are performed to recess the dielectric material, wherein at least one of the etch processes is an etch process that removes or prevents fences from being formed along sidewalls of the trench. The etch process may be, for example, a plasma etch process using NH3 and NF3, an etch process using a polymer-rich gas, or an H2 etch process.
    Type: Application
    Filed: November 12, 2009
    Publication date: July 29, 2010
    Inventors: Neng-Kuo Chen, Kuo-Hwa Tzeng, Cheng-Yuan Tsai
  • Publication number: 20100181651
    Abstract: A sealed semiconductor device having reduced delamination of the sealing layer in high temperature, high humidity conditions is disclosed. The semiconductor device includes a substrate and a stack of device layers on the substrate sealed with a sealing layer. The upper surface of a street area of the substrate is oxidized so that the oxidized region extends under the sealing layer. The presence of the oxidized region of the upper surface of the substrate helps reduce the delamination, because the oxidized surface does not react with water to the same extent as a non-oxidized surface. The semiconductor devices remain sealed after dicing through the street area because the oxidized surface does not delaminate.
    Type: Application
    Filed: January 18, 2010
    Publication date: July 22, 2010
    Inventors: Zhong PAN, Craig Ciesla
  • Patent number: 7759259
    Abstract: A method of manufacturing a semiconductor device including heating a semiconductor substrate, has forming a cap film on a surface of said semiconductor substrate; selectively removing said cap film at least from an upper surface of an edge of said semiconductor substrate, a bevel surface of the edge of said semiconductor substrate and a side surface of the edge of said semiconductor substrate; selectively removing at least a device forming film formed on the upper surface of the edge of said semiconductor substrate, the bevel surface of the edge of said semiconductor substrate and the side surface of the edge of said semiconductor substrate; and heating said semiconductor substrate by irradiating said semiconductor substrate with light having a pulse width of 0.1 milliseconds to 100 milliseconds from a light source after removing said device forming film, wherein said cap film has a lower reflectance at a peak wavelength of said light than said semiconductor substrate.
    Type: Grant
    Filed: April 25, 2008
    Date of Patent: July 20, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takayuki Ito
  • Patent number: 7745351
    Abstract: Methods of forming a dielectric layer where the tensile stress of the layer is increased by a plasma treatment at an elevated position are described. In one embodiment, oxide and nitride layers are deposited on a substrate and patterned to form an opening. A trench is etched into the substrate. The substrate is transferred into a chamber suitable for dielectric deposition. A dielectric layer is deposited over the substrate, filling the trench and covering mesa regions adjacent to the trench. The substrate is raised to an elevated position above the substrate support and exposed to a plasma which increases the tensile stress of the substrate. The substrate is removed from the dielectric deposition chamber, and portions of the dielectric layer are removed so that the dielectric layer is even with the topmost portion of the nitride layer. The nitride and pad oxide layers are removed to form the STI structure.
    Type: Grant
    Filed: October 15, 2008
    Date of Patent: June 29, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Xiaolin Chen, Srinivas D. Nemani, DongQing Li, Jeffrey C. Munro, Marlon E. Menezes
  • Patent number: 7723237
    Abstract: A method for removing a damaged low dielectric constant material following an etch process, an ashing process, or a wet cleaning process is described. A dry, non-plasma removal process is implemented to remove a thin layer of damaged material on a feature following formation of the feature. The dry, non-plasma removal process comprises a chemical treatment of the damaged material, followed by a thermal treatment of the chemically treated surface layer. The two steps, chemical and thermal treatment, can be repeated.
    Type: Grant
    Filed: December 15, 2006
    Date of Patent: May 25, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Sandra Hyland, Ian J. Brown, Yannick Feurprier
  • Publication number: 20100093179
    Abstract: A pattern forming method includes preparing a target object including silicon with an initial pattern formed thereon and having a first line width; performing a plasma oxidation process on the silicon surface inside a process chamber of a plasma processing apparatus and thereby forming a silicon oxide film on a surface of the initial pattern; and removing the silicon oxide film. The pattern forming method is arranged to repeatedly perform formation of the silicon oxide film and removal of the silicon oxide film so as to form an objective pattern having a second line width finer than the first line width on the target object.
    Type: Application
    Filed: December 20, 2007
    Publication date: April 15, 2010
    Applicants: National University Corporation Nagoya University, TOKYO ELECTRON LIMITED
    Inventors: Masaru Hori, Yoshiro Kabe, Toshihiko Shiozawa, Junichi Kitagawa
  • Patent number: 7691740
    Abstract: The semiconductor device fabrication method according the present invention having, forming an interlayer dielectric film containing carbon above a semiconductor substrate, forming a protective film on that portion of the interlayer dielectric film, which is close to the surface and in which the carbon concentration is low, forming a trench by selectively removing a desired region of the interlayer dielectric film and protective film, such that the region extends from the surface of the protective film to the bottom surface of the interlayer dielectric film, supplying carbon to the interface between the interlayer dielectric film and protective film, and forming a conductive layer by burying a conductive material in the trench.
    Type: Grant
    Filed: October 14, 2008
    Date of Patent: April 6, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takahiko Yoshizawa, Noriaki Matsunaga, Naofumi Nakamura
  • Publication number: 20100081292
    Abstract: A gas delivery apparatus comprises: a chamber surrounding a substrate to be processed; a showerhead disposed within the chamber; and gas supply means supplying a gas comprising a mixture of NH3 and H2 to the chamber, in which a coating layer deposited on the interior of the chamber and the showerhead contain nickel (Ni). When the apparatus is utilized to practice a method comprising exposing an object W to a gas comprising a mixture consisting of NH3 and H2, the H2/NH3 gas flow rate ratio and the temperature are controlled so that the reaction of nickel contained in the coating layer deposited on the interior of the chamber and the showerhead is suppressed.
    Type: Application
    Filed: June 20, 2006
    Publication date: April 1, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kensaku Narushima, Satoshi Wakabayashi
  • Publication number: 20100055899
    Abstract: When forming dielectric materials of reduced dielectric constant in sophisticated metallization systems, the creation of defect particles on the dielectric material may be reduced during a plasma enhanced deposition process by inserting an inert plasma step after the actual deposition step.
    Type: Application
    Filed: June 25, 2009
    Publication date: March 4, 2010
    Inventors: Ulrich Mayer, Hartmut Ruelke
  • Patent number: 7662730
    Abstract: A method for fabricating an ultra-high tensile-stressed nitride film is disclosed. A PECVD process is first performed to deposit a transitional silicon nitride film over a substrate. The transitional silicon nitride film has a first concentration of hydrogen atoms. The transitional silicon nitride film is subjected to UV curing process for reducing the first concentration of hydrogen atoms to a second concentration of hydrogen atoms.
    Type: Grant
    Filed: November 24, 2005
    Date of Patent: February 16, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Neng-Kuo Chen, Teng-Chun Tsai, Chien-Chung Huang, Tsai-Fu Chen, Wen-Han Hung
  • Publication number: 20100035438
    Abstract: An interlayer insulating film is formed on a semiconductor substrate having a semiconductor element formed thereon. At this time, there are protrusions higher than surroundings thereof and non-protruding portions lower than the protrusions on the surface of the interlayer insulating film. First, a first polishing process is carried out on the surface of the interlayer insulating film with use of a first abrasive having non-Prestonian properties produced by mixing abrasive materials including abrasive grains, a polymer additive and water at a predetermined first mixture ratio. Then, after the first abrasive process shifts to an automatically stopping state, a second polishing process is carried out on the surface of the interlayer insulating film with use of a second abrasive having the concentration of polymer additive lower than that of the first abrasive and produced by mixing the abrasive materials at a second mixture ratio different from the first mixture ratio.
    Type: Application
    Filed: July 30, 2009
    Publication date: February 11, 2010
    Applicant: Sharp Kabushiki Kaisha
    Inventors: Noritaka Kamikubo, Hiroshi Yamauchi
  • Patent number: 7659206
    Abstract: A method of treating a substrate comprises depositing silicon oxycarbide on the substrate and removing the silicon oxycarbide from the substrate. The silicon oxycarbide on the substrate is decarbonized by exposure to an energized oxygen-containing gas that heats the substrate and converts the layer of silicon oxycarbide into a layer of silicon oxide. The silicon oxide is removed by exposure to a plasma of fluorine-containing process gas. Alternatively, the remaining silicon oxide can be removed by a fluorine-containing acidic bath. In yet another version, a plasma of a fluorine-containing gas and an oxygen-containing gas is energized to remove the silicon oxycarbide from the substrate.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: February 9, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Krishna Vepa, Yashraj Bhatnagar, Ronald Rayandayan, Venkata Balagani
  • Publication number: 20100029073
    Abstract: Methods of forming integrated circuit devices include forming a gate electrode on a substrate and forming a nitride layer on a sidewall and upper surface of the gate electrode. The nitride layer is then anisotropically oxidized under conditions that cause a first portion of the nitride layer extending on the upper surface of the gate electrode to be more heavily oxidized relative to a second portion of the nitride layer extending on the sidewall of the gate electrode. A ratio of a thickness of an oxidized first portion of the nitride layer relative to a thickness of an oxidized second portion of the nitride layer may be in a range from about 3:1 to about 7:1.
    Type: Application
    Filed: May 19, 2009
    Publication date: February 4, 2010
    Inventors: Jae-Hwa Park, Jong-Min Baek, Gil-Heyun Choi, Hee-Sook Park
  • Patent number: 7649264
    Abstract: Described herein are embodiments of a hard mask including a surface to reduce adhesion to an anti-reflective material deposited on a surface, wherein the surface to reduced adhesion provides use of a process to remove the anti-reflective material deposited on the surface that minimizes damage to an interlayer dielectric layer below the hard mask and methods of manufacturing the same.
    Type: Grant
    Filed: September 28, 2006
    Date of Patent: January 19, 2010
    Assignee: Intel Corporation
    Inventors: Tony V. Mule, Magdy S. Abdelrahman
  • Publication number: 20100009542
    Abstract: A substrate processing method that forms an opening, which has a size that fills the need for downsizing a semiconductor device and is to be transferred to an amorphous carbon film, in a photoresist film of a substrate to be processed. Deposit is accumulated on a side wall surface of the opening in the photoresist film using plasma produced from a deposition gas having a gas attachment coefficient S of 0.1 to 1.0 so as to reduce the opening width of the opening.
    Type: Application
    Filed: June 29, 2009
    Publication date: January 14, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masanobu Honda, Hironobu Ichikawa
  • Publication number: 20100003823
    Abstract: A method for forming a semiconductor structure includes the following steps. A hard mask layer is formed over a semiconductor region. The hard mask layer has inner portions that are thinner than its outer portions, and the inner portions define an exposed surface area of the semiconductor region. A portion of the semiconductor region is removed through the exposed surface area of the semiconductor region. The thinner portions of the hard mask layer are removed to expose surface areas of the semiconductor region underlying the thinner portions. An additional portion of the semiconductor region is removed through all exposed surface areas of the semiconductor region thereby forming a trench having an upper portion that is wider than its lower portion.
    Type: Application
    Filed: December 3, 2008
    Publication date: January 7, 2010
    Inventors: Hui Chen, Ihsiu Ho, Stacy W. Hall, Briant Harward, Hossein Paravi
  • Publication number: 20090317971
    Abstract: A method for restoring the dielectric constant of a low dielectric constant film is described. A porous dielectric layer having a plurality of pores is formed on a substrate. The plurality of pores is then filled with an additive to provide a plugged porous dielectric layer. Finally, the additive is removed from the plurality of pores.
    Type: Application
    Filed: June 9, 2009
    Publication date: December 24, 2009
    Inventors: Zhenjiang Cui, May Yu, Alexandros T. Demos, Mehul Naik
  • Publication number: 20090311830
    Abstract: A semiconductor chip, semiconductor package including the same, and a method of manufacturing the semiconductor chip and semiconductor package to block up electrical contacts between bonding wires and the semiconductor chip by providing insulation over the edge of the semiconductor chip.
    Type: Application
    Filed: June 9, 2009
    Publication date: December 17, 2009
    Applicant: Samsung Electronics Co., Ltd.
    Inventor: In-Ku KANG
  • Publication number: 20090302433
    Abstract: There is provided a method for modifying a high-k dielectric thin film provided on the surface of an object using a metal organic compound material. The method includes a preparation process for providing the object with the high-k dielectric thin film formed on the surface thereof, and a modification process for applying UV rays to the highly dielectric thin film in an inert gas atmosphere while maintaining the object at a predetermined temperature to modify the high-k dielectric thin film. According to the above constitution, the carbon component can be eliminated from the high-k dielectric thin film, and the whole material can be thermally shrunk to improve the density, whereby the occurrence of defects can be prevented and the film density can be improved to enhance the specific permittivity and thus to provide a high level of electric properties.
    Type: Application
    Filed: November 22, 2006
    Publication date: December 10, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kazuyoshi Yamazaki, Shintaro Aoyama, Koji Akiyama
  • Patent number: 7629273
    Abstract: A method for forming a semiconductor structure includes providing a substrate comprising a first device region, forming a metal-oxide-semiconductor (MOS) device in the first device region, forming a stressed layer over the MOS device, and performing a post-treatment to modulate a stress of the stressed layer. The post-treatment is selected from the group consisting essentially of ultra-violet (UV) curing, laser curing, e-Beam curing, and combinations thereof.
    Type: Grant
    Filed: September 19, 2006
    Date of Patent: December 8, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Hung Chun Tsai, Hui-Lin Chang, Ting-Yu Shen, Yung-Cheng Lu
  • Publication number: 20090289284
    Abstract: A method (and semiconductor device) of forming a high shrinkage stressed silicon nitride layer for use as a contact etch stop layer (CESL) or capping layer in a stress management technique (SMT) provides increased tensile stress to a channel of an nFET device to enhance carrier mobility. A spin-on polysilazane-based dielectric material is applied to a semiconductor substrate and baked to form a film layer. The film layer is cured to remove hydrogen from the film which causes shrinkage in the film when it recrystallizes into silicon nitride. The resulting silicon nitride stressed layer introduces an increased level of tensile stress to the transistor channel region.
    Type: Application
    Filed: May 23, 2008
    Publication date: November 26, 2009
    Inventors: Luona Goh, Jingze Tian, Wei Lu, Mei Sheng Zhou
  • Patent number: 7622378
    Abstract: A multi-step system and method for curing a dielectric film in which the system includes a drying system configured to reduce the amount of contaminants, such as moisture, in the dielectric film. The system further includes a curing system coupled to the drying system, and configured to treat the dielectric film with ultraviolet (UV) radiation and infrared (IR) radiation in order to cure the dielectric film.
    Type: Grant
    Filed: November 9, 2005
    Date of Patent: November 24, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Junjun Liu, Eric M. Lee, Dorel L. Toma
  • Publication number: 20090278254
    Abstract: An integrated circuit device is provided having a substrate and areas of electrically insulating and electrically conductive material, where the electrically insulating material is a hybrid organic-inorganic material that requires no or minimal CMP and which can withstand subsequent processing steps at temperatures of 450° C. or more.
    Type: Application
    Filed: December 1, 2008
    Publication date: November 12, 2009
    Inventors: Juha T. Rantala, Nigel Hacker, Jason Reid, William McLaughlin, Teemu T. Tormanen
  • Publication number: 20090258495
    Abstract: A method of making a device includes forming a device layer, forming an organic hard mask layer over the device layer, forming a first oxide hard mask layer over the organic hard mask layer, forming a DARC layer over the first oxide hard mask layer, forming a photoresist layer over the DARC layer, patterning the photoresist layer to form a photoresist pattern, and transferring the photoresist pattern to the device layer using the DARC layer, the first oxide hard mask layer and the organic hard mask layer.
    Type: Application
    Filed: June 17, 2008
    Publication date: October 15, 2009
    Inventors: Michael Chan, Usha Raghuram
  • Publication number: 20090199901
    Abstract: The present invention refers to a method of producing a photovoltaic device having at least one semiconductor unit comprising the following steps: a cleaning of at least one surface of the semiconductor unit by etching; drying of the at least one surface of the semiconductor unit in a substantially oxygen-free or oxygen-depleted environment; and depositing of a passivation layer on the at least one surface as well as to a device for carrying out such a method and to photovoltaic devices produced by this method.
    Type: Application
    Filed: February 8, 2008
    Publication date: August 13, 2009
    Applicant: Applied Materials, Inc.
    Inventors: Roland Trassl, Sven Schramm, Winfried Wolke, Jan Catoir
  • Patent number: 7569499
    Abstract: The invention provides a method of fabricating a semiconductor device. In one aspect, the method comprises forming a stress inducing layer over a semiconductor substrate, subjecting the stress inducing layer to a first temperature anneal, and subjecting the semiconductor substrate to a second temperature anneal subsequent to the first temperature anneal, wherein the second temperature anneal is higher than the first temperature anneal.
    Type: Grant
    Filed: March 28, 2006
    Date of Patent: August 4, 2009
    Assignee: Texas Instruments Incorporated
    Inventor: Periannan Chidambaram
  • Publication number: 20090170324
    Abstract: In one embodiment, an apparatus for reducing adherence in a micro-electromechanical system (MEMS) device comprises a substrate. A MEMS is disposed outwardly from the substrate. The MEMS comprises structures and corresponding landing pads. Dibs are disposed outwardly from the substrate. Each dib has a surface with depressions. An adherence-reducing material is disposed within each depression. The adherence-reducing material reduces adherence between at least a portion of a structure and a corresponding landing pad.
    Type: Application
    Filed: December 31, 2007
    Publication date: July 2, 2009
    Applicant: Texas Instruments Incorporated
    Inventor: Walter M. Duncan
  • Publication number: 20090142926
    Abstract: Embodiments of the present invention relate to lithographic processes used in integrated circuit fabrication for improving line edge roughness (LER) and reduced critical dimensions (CD) for lines and/or trenches. Embodiments use the combinations of polarized light lithography, shrink coating processes, and double exposure processes to produce synergetic effects in the formation of trench structures having good resolution, reduced CDs, reduced pitch, and reduced LER in the lines and/or trenches of the patterned interconnect structures.
    Type: Application
    Filed: June 3, 2008
    Publication date: June 4, 2009
    Inventors: Huixiong Dai, Xumou Xu, Christopher S. Ngai
  • Patent number: 7537971
    Abstract: A method for fabricating a complementary metal-oxide semiconductor (CMOS) image sensor includes performing an ion implantation process onto a photodiode region in a first conductivity type semiconductor layer to form a second conductivity type first impurity region, and performing an annealing process in a gas atmosphere including first conductivity type impurity atoms to form a first conductivity type second impurity region underneath a surface of the first conductivity type semiconductor layer in the second conductivity type first impurity region, wherein the first conductivity type second impurity region is doped with the diffused first conductivity impurity atoms.
    Type: Grant
    Filed: June 26, 2006
    Date of Patent: May 26, 2009
    Assignee: MagnaChip Semiconductor Ltd.
    Inventor: Han-Seob Cha
  • Patent number: 7538001
    Abstract: A transistor gate forming method includes forming a first and a second transistor gate. Each of the two gates includes a lower metal layer and an upper metal layer. The lower metal layer of the first gate originates from an as-deposited material exhibiting a work function the same as exhibited in an as-deposited material from which the lower metal layer of the second gate originates. However, the first gate's lower metal layer exhibits a modified work function different from a work function exhibited by the second gate's lower metal layer. The first gate's lower metal layer may contain less oxygen and/or carbon in comparison to the second gate's lower metal layer. The first gate's lower metal layer may contain more nitrogen in comparison to the second gate's lower metal layer. The first gate may be a n-channel gate and the second gate may be a p-channel gate.
    Type: Grant
    Filed: September 1, 2005
    Date of Patent: May 26, 2009
    Assignee: Micron Technology, Inc.
    Inventors: D. V. Nirmal Ramaswamy, Ravi Iyer
  • Publication number: 20090087796
    Abstract: The present invention provides a method for forming an amorphous carbon layer on a substrate. The method comprises the steps of: positioning the substrate in a processing chamber; introducing a process gas into the processing chamber, wherein the process gas comprises a composition comprising a C4 to C10 cyclic hydrocarbon having a single carbon-carbon double bond, wherein the composition is free of a stabilizer; generating a plasma of the process gas; and depositing an amorphous carbon layer on the substrate.
    Type: Application
    Filed: September 16, 2008
    Publication date: April 2, 2009
    Applicant: Air Products and Chemicals, Inc.
    Inventors: Raymond Nicholas Vrtis, Stephen Andrew Motika, Steven Gerard Mayorga
  • Publication number: 20090085172
    Abstract: A deposition method includes steps of placing a substrate on a susceptor in a process chamber; supplying to the process chamber a source gas including an organic compound and a plasma gas for facilitating activation of the source gas into plasma; evacuating the process chamber to a reduced pressure; generating plasma of the plasma gas and the source gas in the process chamber to deposit a barrier film including carbon on the substrate; and applying high frequency bias electric power to the susceptor during the plasma generating step.
    Type: Application
    Filed: September 26, 2008
    Publication date: April 2, 2009
    Inventors: Masahiro Horigome, Shigekazu Hirose
  • Patent number: 7507677
    Abstract: A method is provided for processing a substrate including removing amorphous carbon material disposed on a low k dielectric material with minimal or reduced defect formation and minimal dielectric constant change of the low k dielectric material. In one aspect, the invention provides a method for processing a substrate including depositing at least one dielectric layer on a substrate surface, wherein the dielectric layer comprises silicon, oxygen, and carbon and has a dielectric constant of about 3 or less, forming amorphous carbon material on the at least one dielectric layer, and removing the one or more amorphous carbon layers by exposing the one or more amorphous carbon layers to a plasma of a hydrogen-containing gas.
    Type: Grant
    Filed: November 8, 2006
    Date of Patent: March 24, 2009
    Assignee: Applied Materials, Inc.
    Inventor: Christopher Dennis Bencher
  • Publication number: 20090047796
    Abstract: Nitridizing and optionally annealing plural high-k films layer-by-layer are performed to dope nitrogen into high-k films.
    Type: Application
    Filed: August 13, 2007
    Publication date: February 19, 2009
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chen-Hua Yu, Liang-Gi Yao
  • Publication number: 20090039475
    Abstract: To provide a semiconductor manufacturing apparatus which is able to improve insulation film. An irradiating device comprises irradiating means for irradiating light with a wavelength longer than one corresponding to the absorption edge of insulation film for said insulation film and shorter than one necessary for cutting chemical bonds, to which hydrogen of said insulation film is related.
    Type: Application
    Filed: April 24, 2006
    Publication date: February 12, 2009
    Inventor: Yoshimi Shioya
  • Publication number: 20090020823
    Abstract: A semiconductor device of the present invention includes a first transistor, a first stress-inducing film, a first insulating film, and a second insulating film. The first transistor is formed in a first active region of a semiconductor substrate, and includes a first gate electrode. The first stress-inducing film is formed so as to cover the first gate electrode, and applies a stress to the channel region of the first transistor. The first insulating film is formed on the first stress-inducing film and has a planarized upper surface. The second insulating film is formed on the first insulating film.
    Type: Application
    Filed: July 1, 2008
    Publication date: January 22, 2009
    Inventor: Tomohiro Fujita
  • Patent number: 7479465
    Abstract: A strained semiconductor layer is achieved by a method for transferring stress from a dielectric layer to a semiconductor layer. The method comprises providing a substrate having a semiconductor layer. A dielectric layer having a stress is formed over the semiconductor layer. A radiation anneal is applied over the dielectric layer of a duration not exceeding 10 milliseconds to cause the stress of the dielectric layer to create a stress in the semiconductor layer. The dielectric layer may then be removed. At least a portion of the stress in the semiconductor layer remains in the semiconductor layer after the dielectric layer is removed. The radiation anneal can be either by using either a laser beam or a flash tool. The radiation anneal can also be used to activate source/drain regions.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: January 20, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Gregory S. Spencer, Venkat R. Kolagunta, Narayanan C. Ramani, Vishal P. Trivedi
  • Patent number: 7459391
    Abstract: The semiconductor device fabrication method according the present invention having, forming an interlayer dielectric film containing carbon above a semiconductor substrate, forming a protective film on that portion of the interlayer dielectric film, which is close to the surface and in which the carbon concentration is low, forming a trench by selectively removing a desired region of the interlayer dielectric film and protective film, such that the region extends from the surface of the protective film to the bottom surface of the interlayer dielectric film, supplying carbon to the interface between the interlayer dielectric film and protective film, and forming a conductive layer by burying a conductive material in the trench.
    Type: Grant
    Filed: August 22, 2005
    Date of Patent: December 2, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takahiko Yoshizawa, Noriaki Matsunaga, Naofumi Nakamura
  • Publication number: 20080280454
    Abstract: A wafer recycling method using laser films stripping is proposed, in which the high energy density of laser is used to instantaneously vaporize and remove multilayer films of different materials on wafers. The process is simple, and it is not necessary to sore wafers in advance, and the selection of chemicals or mechanical polishing materials needs not to be taken into account. Not only can the environmental protection problem be avoided the process cost be lowered, the problem of damage and residual stress to silicon substrates caused by conventional mechanical polishing can also be mitigated.
    Type: Application
    Filed: May 9, 2007
    Publication date: November 13, 2008
    Inventor: Ya-Li Chen
  • Publication number: 20080280428
    Abstract: A method of manufacturing a semiconductor device including heating a semiconductor substrate, has forming a cap film on a surface of said semiconductor substrate; selectively removing said cap film at least from an upper surface of an edge of said semiconductor substrate, a bevel surface of the edge of said semiconductor substrate and a side surface of the edge of said semiconductor substrate; selectively removing at least a device forming film formed on the upper surface of the edge of said semiconductor substrate, the bevel surface of the edge of said semiconductor substrate and the side surface of the edge of said semiconductor substrate; and heating said semiconductor substrate by irradiating said semiconductor substrate with light having a pulse width of 0.1 milliseconds to 100 milliseconds from a light source after removing said device forming film, wherein said cap film has a lower reflectance at a peak wavelength of said light than said semiconductor substrate.
    Type: Application
    Filed: April 25, 2008
    Publication date: November 13, 2008
    Inventor: Takayuki ITO