Diffusion Of Impurity Material, E.g., Doping Material, Electrode Material, Into Or Out Of A Semiconductor Body, Or Between Semiconductor Regions; Interactions Between Two Or More Impurities; Redistribution Of Impurities (epo) Patents (Class 257/E21.135)
  • Publication number: 20100210091
    Abstract: A method for producing a semiconductor includes providing a p-doped semiconductor body having a first side and a second side; implanting protons into the semiconductor body via the first side to a target depth of the semiconductor body; bonding the first side of the semiconductor body to a carrier substrate; forming an n-doped zone in the semiconductor body by heating the semiconductor body such that a pn junction arises in the semiconductor body; and removing the second side of the semiconductor body at least as far as a space charge zone spanned at the pn junction.
    Type: Application
    Filed: April 29, 2010
    Publication date: August 19, 2010
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Anton Mauder, Hans-Joachim Schulze, Helmut Strack, Hans-Joerg Timme, Wolfgang Werner
  • Publication number: 20100207174
    Abstract: The invention provides a method for forming a semiconductor structure. A plurality of first type well regions is formed in the first type substrate. A plurality of second type well regions and a plurality of second type bar doped regions are formed in the first type substrate by a doping process using a mask. The second type bar doped regions are diffused to form a second type continuous region by annealing. The second type continuous region is adjoined with the first type well regions. A second type dopant concentration of the second type continuous region is smaller than a second type dopant concentration of the second type bar doped regions. A second type source/drain region is formed in the second type well region.
    Type: Application
    Filed: February 16, 2009
    Publication date: August 19, 2010
    Applicant: VANGUARD INTERNATIONAL SEMICONDUCTOR CORPORATION
    Inventors: Hung-Shern Tsai, Shang-Hui Tu, Shin-Cheng Lin
  • Patent number: 7776727
    Abstract: Embodiments of the invention contemplate high efficiency emitters in solar cells and novel methods for forming the same. One embodiment of the improved emitter structure, called a high-low type emitter, optimizes the solar cell performance by equally providing low contact resistance to minimize ohmic losses and isolation of the high surface recombination metal-semiconductor interface from the junction to maximize cell voltage. Another embodiment, called an alternating doping type emitter, provides regions of alternating doping type for use with point contacts in the back-contact solar cells. One embodiment of the methods includes depositing and patterning a doped or undoped dielectric layer on a surface of a substrate, implanting a fast-diffusing dopant and/or a slow-diffusing dopant into the substrate either simultaneously or sequentially, and annealing the substrate to drive in the dopants.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: August 17, 2010
    Assignee: Applied Materials, Inc.
    Inventor: Peter Borden
  • Publication number: 20100193766
    Abstract: The invention relates to a process for producing a p-n junction in a nanostructure, in which the nanostructure has one or more nanoconstituents made of a semiconductor material with a single type of doping having one conductivity type, characterized in that it includes a step consisting in forming a dielectric element (3, 32, . . . , 3n) embedding the nanostructure over a height h, the dielectric element generating a surface potential capable of inverting the conductivity type over a defined width W of the nanoconstituents(s) thus embedded over the height h.
    Type: Application
    Filed: January 22, 2010
    Publication date: August 5, 2010
    Inventors: Eddy Romain-Latu, Philippe Gilet
  • Publication number: 20100197111
    Abstract: A method of manufacturing a memory device and a phase-change memory device is presented. The method of manufacturing the memory device includes performing Ge ion implantation on a top surface of a first layer. The method also includes performing a fast heat treatment on the ion-implanted first layer. The method also includes forming a second layer on a top of the fast heat-treated first layer.
    Type: Application
    Filed: November 23, 2009
    Publication date: August 5, 2010
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Hye Jin SEO, Keum Bum LEE, Hyung Suk LEE
  • Patent number: 7767540
    Abstract: By appropriately orienting the channel length direction with respect to the crystallographic characteristics of the silicon layer, the stress-inducing effects of strained silicon/carbon material may be significantly enhanced compared to conventional techniques. In one illustrative embodiment, the channel may be oriented along the <100> direction for a (100) surface orientation, thereby providing an electron mobility increase of approximately a factor of four.
    Type: Grant
    Filed: December 6, 2006
    Date of Patent: August 3, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Igor Peidous, Thorsten Kammler, Andy Wei
  • Publication number: 20100187662
    Abstract: A method for forming a silicon film may be performed using a microheater including a substrate and a metal pattern spaced apart from the substrate. The silicon film may be formed on the metal pattern by applying a voltage to the metal pattern of the microheater to heat the metal pattern and by exposing the microheater to a source gas containing silicon. The silicon film may be made of polycrystalline silicon. A method for forming a pn junction may be performed using a microheater including a substrate, a conductive layer on the substrate, and a metal pattern spaced apart from the substrate. The pn junction may be formed between the metal pattern and the conductive layer by applying a voltage to the metal pattern of the microheater to heat the metal pattern. The pn junction may be made of polycrystalline silicon.
    Type: Application
    Filed: July 20, 2009
    Publication date: July 29, 2010
    Inventors: Junhee Choi, Andrei Zoulkarneev
  • Publication number: 20100187545
    Abstract: The present invention is generally directed to methods of selectively doping a substrate and the resulting selectively doped substrates. The methods include doping an epilayer of a substrate with the selected doping material to adjust the conductivity of either the epilayers grown over a substrate or the substrate itself. The methods utilize lithography to control the location of the doped regions on the substrate. The process steps can be repeated to form a cyclic method of selectively doping different areas of the substrate with the same or different doping materials to further adjust the properties of the resulting substrate.
    Type: Application
    Filed: November 13, 2007
    Publication date: July 29, 2010
    Applicant: UNIVERSITY OF SOUTH CAROLINA
    Inventors: Asif Khan, Vinod Adivarahan
  • Publication number: 20100190286
    Abstract: Disclosed is a method for manufacturing a solar cell, which includes the steps of: applying a first diffusing agent containing n-type impurities and a second diffusing agent containing p-type impurities onto a semiconductor substrate; forming a protective layer covering at least one of the first diffusing agent and the second diffusing agent; and diffusing at least one of the n-type impurities and the p-type impurities in a surface of the semiconductor substrate by heat treatment of the semiconductor substrate having the protective layer formed thereon.
    Type: Application
    Filed: August 29, 2008
    Publication date: July 29, 2010
    Inventors: Masatsugu Kohira, Yasushi Funakoshi
  • Publication number: 20100190323
    Abstract: The present invention provides a method of providing a desired catalyst electron energy level. The method includes providing a donor material quantum confinement structure (QCS) having a first Fermi level, and providing an acceptor QCS material having a second Fermi level, where the first Fermi level is higher than the second Fermi level. According to the method the acceptor is disposed proximal to the donor to alter an electronic structure of the donor and the acceptor materials to provide the desired catalyst electron energy level.
    Type: Application
    Filed: January 21, 2010
    Publication date: July 29, 2010
    Inventors: Timothy P. Holme, Friedrich B. Prinz
  • Patent number: 7759154
    Abstract: The invention provides an imager having a p-n-p photodiode with an ultrashallow junction depth. A p+ junction layer of the photodiode is doped with indium to decrease transient enhanced diffusion effects, minimize fixed pattern noise and fill factor loss.
    Type: Grant
    Filed: April 18, 2008
    Date of Patent: July 20, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Chandra Mouli
  • Patent number: 7749845
    Abstract: A method of manufacturing a semiconductor device having a polycrystalline silicon layer (5) includes; a step of forming a mask layer (7) on the polycrystalline silicon layer (5); a step of forming a side wall (8) that is provided on a side face of the mask layer (7) and covers part of the polycrystalline silicon layer (6); a step of doping an impurity (52) into the polycrystalline silicon layer (5) by using at least one of the mask layer (7) and the side wall (8) as a mask; and a step of etching the polycrystalline silicon layer (5, 6) by using at least one of the mask layer (7) and the side wall (8) as a mask.
    Type: Grant
    Filed: June 26, 2006
    Date of Patent: July 6, 2010
    Assignee: Nissan Motor Co., Ltd.
    Inventors: Shigeharu Yamagami, Masakatsu Hoshi, Tetsuya Hayashi, Hideaki Tanaka, Yoshio Shimoida
  • Publication number: 20100167512
    Abstract: Methods of doping nanostructures, such as nanowires, are disclosed. The methods provide a variety of approaches for improving existing methods of doping nanostructures. The embodiments include the use of a sacrificial layer to promote uniform dopant distribution within a nanostructure during post-nanostructure synthesis doping. In another embodiment, a high temperature environment is used to anneal nanostructure damage when high energy ion implantation is used. In another embodiment rapid thermal annealing is used to drive dopants from a dopant layer on a nanostructure into the nanostructure. In another embodiment a method for doping nanowires on a plastic substrate is provided that includes depositing a dielectric stack on a plastic substrate to protect the plastic substrate from damage during the doping process.
    Type: Application
    Filed: March 9, 2010
    Publication date: July 1, 2010
    Applicant: NANOSYS, INC.
    Inventors: Yaoling Pan, Jian Chen, Francisco Leon, Shahriar Mostarshed, Linda T. Romano, Vijendra Sahi, David P. Stumbo
  • Publication number: 20100164001
    Abstract: Methods and associated structures of forming a microelectronic device are described. Those methods may include implanting an exposed p type silicon portion of a substrate with a carbon species, wherein endcap regions of a blocked salicide resistor and a p type structure that are both disposed on the exposed p type silicon portion of the substrate are implanted with the carbon species.
    Type: Application
    Filed: December 30, 2008
    Publication date: July 1, 2010
    Inventors: Joodong Park, Chia-Hong Jan, Lisa M. McGill
  • Publication number: 20100164073
    Abstract: Electrical structures and devices may be formed and include an organic passivating layer that is chemically bonded to a silicon-containing semiconductor material to improve the electrical properties of electrical devices. In different embodiments, the organic passivating layer may remain within finished devices to reduce dangling bonds, improve carrier lifetimes, decrease surface recombination velocities, increase electronic efficiencies, or the like. In other embodiments, the organic passivating layer may be used as a protective sacrificial layer and reduce contact resistance or reduce resistance of doped regions. The organic passivation layer may be formed without the need for high-temperature processing.
    Type: Application
    Filed: July 20, 2009
    Publication date: July 1, 2010
    Applicant: THE CALIFORNIA INSTITUTE OF TECHNOLOGY
    Inventors: Nathan S. Lewis, William Royea
  • Publication number: 20100167511
    Abstract: Method for simultaneously forming doped regions having different conductivity-determining type elements profiles are provided. In one exemplary embodiment, a method comprises the steps of diffusing first conductivity-determining type elements into a first region of a semiconductor material from a first dopant to form a doped first region. Second conductivity-determining type elements are simultaneously diffused into a second region of the semiconductor material from a second dopant to form a doped second region. The first conductivity-determining type elements are of the same conductivity-determining type as the second conductivity-determining type elements. The doped first region has a dopant profile that is different from a dopant profile of the doped second region.
    Type: Application
    Filed: December 29, 2008
    Publication date: July 1, 2010
    Applicant: Honeywell International Inc.
    Inventors: Roger Yu-Kwan Leung, Nicole Rutherford, Anil Bhanap
  • Publication number: 20100167510
    Abstract: A method of forming a multi-doped junction is disclosed. The method includes providing a first substrate and a second substrate. The method also includes depositing a first ink on a first surface of each of the first substrate and the second substrate, the first ink comprising a first set of nanoparticles and a first set of solvents, the first set of nanoparticles comprising a first concentration of a first dopant. The method further includes depositing a second ink on a second surface of each of the first substrate and the second substrate, the second ink comprising a second set of nanoparticles and a second set of solvents, the second set of nanoparticles comprising a second concentration of a second dopant. The method also includes placing the first substrate and the second substrate in a back to back configuration; and heating the first substrate and the second substrate in a first drive-in ambient to a first temperature and for a first time period.
    Type: Application
    Filed: November 25, 2009
    Publication date: July 1, 2010
    Inventors: Maxim Kelman, Michael Burrows, Dmitry Poplavskyy, Giuseppe Scardera, Daniel Kray, Elena Rogojina
  • Publication number: 20100154877
    Abstract: A cane having optical properties includes: a core formed of a semiconductor material; and a transparent cladding formed of glass, glass-ceramic, or polymer coaxially oriented about the core, the cane may be used to produce a photovoltaic device, including: a semiconductor core including at least one p-n junction, defined by respective n-type and p-type regions; a substantially transparent cladding in coaxial relationship with the semiconductor core, forming a longitudinally oriented cane; and first and second electrodes, each being electrically coupled to a respective one of the n-type and p-type regions.
    Type: Application
    Filed: December 18, 2008
    Publication date: June 24, 2010
    Inventors: Venkata Adiseshaiah Bhagavatula, David John McEnroe
  • Publication number: 20100151667
    Abstract: A dopant device includes: a dopant holder that holds Ge which is solid at normal temperature and liquefies the Ge near a surface of the semiconductor melt, the dopant holder including a communicating hole for delivering the liquefied Ge downwardly; a cover portion for covering the Ge held by the dopant holder; and a vent provided on the cover portion for communicating with the outside. A dopant injecting method is carried out using such a dopant device, the dopant injecting method including: loading Ge dopant in a solid state into the doping device; liquefying the solid Ge dopant loaded into the doping device while holding the doping device at a predetermined height from a surface of a semiconductor melt; and doping the semiconductor melt with the liquefied Ge that is flowed from the communicating hole.
    Type: Application
    Filed: May 23, 2008
    Publication date: June 17, 2010
    Applicant: SUMCO TECHXIV CORPORATION
    Inventors: Yasuhito Narushima, Shinichi Kawazoe, Fukuo Ogawa, Toshimichi Kubota
  • Publication number: 20100148293
    Abstract: An implantable, miniaturized platform and a method for fabricating the platform is provided, where the e platform includes a top cover plate and a bottom substrate, top cover plate including an epitaxial, Si-encased substrate and is configured to include monolithically grown devices and device contact pads, the Si-encased substrate cover plate including a gold perimeter fence deposited on its Si covered outer rim and wherein the bottom substrate is constructed of Si and includes a plurality of partial-Si-vias (PSVs), electronic integrated circuits, device pads, pad interconnects and a gold perimeter fence, wherein the device pads are aligned with a respective device contact pad on the top cover plate and includes gold bumps having a predetermined height, the top cover plate and the bottom substrate being flip-chip bonded to provide a perimeter seal and to ensure electrical connectivity between the plurality of internal devices and at least one external component.
    Type: Application
    Filed: November 16, 2009
    Publication date: June 17, 2010
    Inventors: Faquir Chand Jain, Fotios Papadimitrakopulos
  • Patent number: 7737014
    Abstract: A stressed film applied across a boundary defined by a structure or a body (e.g. substrate or layer) of semiconductor material provides a change from tensile to compressive stress in the semiconductor material proximate to the boundary and is used to modify boron diffusion rate during annealing and thus modify final boron concentrations. In the case of a field effect transistor, the gate structure may be formed with or without sidewalls to regulate the location of the boundary relative to source/drain, extension and/or halo implants. Different boron diffusion rates can be produced in the lateral and vertical directions and diffusion rates comparable to arsenic can be achieved. Reduction of junction capacitance of both nFETs and pFETs can be achieved simultaneously with the same process steps.
    Type: Grant
    Filed: December 8, 2003
    Date of Patent: June 15, 2010
    Assignee: International Business Machines Corporation
    Inventors: Frederick William Buehrer, Dureseti Chidambarrao, Bruce B. Doris, Hsiang-Jen Huang, Haining Yang
  • Publication number: 20100142878
    Abstract: An absorption modulator is provided. The absorption modulator includes a substrate, an insulation layer disposed on the substrate, and a waveguide having a P-I-N diode structure on the insulation layer. Absorptance of an intrinsic region in the P-I-N diode structure is varied when modulating light inputted to the waveguide. The absorption modulator obtains the improved characteristics, such as high speed, low power consumption, and small size, because it greatly reduces the cross-sectional area of the P-I-N diode structure.
    Type: Application
    Filed: July 16, 2009
    Publication date: June 10, 2010
    Applicant: Electronics and Telecommunications Research Institute
    Inventors: Jeong Woo PARK, Jongbum You, Gyungock Kim
  • Publication number: 20100144123
    Abstract: Provided is a method of forming a compound semiconductor device. In the method, a dopant element layer is formed on an undoped compound semiconductor layer. An annealing process is performed to diffuse dopants in the dopant element layer into the undoped compound semiconductor layer, thereby forming a dopant diffusion region. A rapid cooling process is performed using liquid nitrogen with respect to the substrate having the dopant diffusion region.
    Type: Application
    Filed: July 23, 2009
    Publication date: June 10, 2010
    Applicant: ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE
    Inventors: Mi-Ran PARK, Jae-Sik SIM, Yong-Hwan KWON, Bongki MHEEN, Dae Kon OH
  • Publication number: 20100140700
    Abstract: A semiconductor device and a method of manufacturing a semiconductor device. A semiconductor device may include a substrate and a laterally diffused metal oxide semiconductor (LDMOS) device. A semiconductor device may include a second conductive type well formed on and/or over a substrate. An LDMOS device may include a drain disposed on and/or over a substrate. An LDMOS device may include a field oxide at one side of a drain, a first conductive type impurity layer on and/or over a substrate, under a field oxide, and/or a second conductive type impurity layer between a first conductive type impurity layer and a field oxide.
    Type: Application
    Filed: November 24, 2009
    Publication date: June 10, 2010
    Inventor: Sang-Yong Lee
  • Publication number: 20100136774
    Abstract: A method of fabricating a diode is disclosed. One embodiment provides a semiconductor body having a front and a back, opposite the front in a vertical direction of the semiconductor body. The semiconductor body contains, successively in the vertical direction from the back to the front, a heavily n-doped zone, a weakly n-doped zone, a weakly p-doped zone and a heavily p-doped zone. In the vertical direction, the weakly p-doped zone has a thickness of at least 25% and at most 50% of the thickness of the semiconductor body.
    Type: Application
    Filed: December 29, 2009
    Publication date: June 3, 2010
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Hans-Joachim Schulze, Franz-Josef Niedernostheide, Reiner Barthelmess
  • Publication number: 20100136763
    Abstract: Provided are methods of forming a semiconductor device, the method including: forming an insulation region on a substrate region, and an active region on the insulation region; patterning the active region to form an active line pattern; forming a gate pattern to surround an upper portion and lateral portions of the active line pattern; separating the gate pattern into a plurality of sub-gate regions, and separating the active line pattern into a plurality of sub-active regions, in order to form a plurality of memory cells that are each formed of the sub-active region and the sub-gate region and that are separated from one another; and forming first and second impurity doping regions along both edges of the sub-active regions included in each of the plurality of the memory cells, wherein the forming of the first and second impurity doping regions comprises doping lateral portions of the sub-active regions via a space between the memory cells.
    Type: Application
    Filed: November 30, 2009
    Publication date: June 3, 2010
    Inventor: Tae-hee Lee
  • Publication number: 20100136768
    Abstract: The invention relates to a method for simultaneous doping and oxidizing semiconductor substrates and also to doped and oxidized semiconductors substrates produced in this manner. Furthermore, the invention relates to the use of this method for producing solar cells.
    Type: Application
    Filed: September 4, 2007
    Publication date: June 3, 2010
    Applicant: Fraunhofer-Gesellschaft zur Forderung der Angewandten Forschung E.V.
    Inventors: Daniel Biro, Ralf Preu, Jochen Rentsch
  • Patent number: 7727867
    Abstract: A MLD-SIMOX wafer is obtained by forming a first ion-implanted layer in a silicon wafer; forming a second ion-implanted layer that is in an amorphous state; and subjecting the wafer to a high-temperature heat treatment to maintain the wafer in an atmosphere containing oxygen at a temperature that is not lower than 1300° C. but lower than a silicon melting point to change the first and the second ion-implanted layers into a BOX layer, wherein the dose amount for the first ion-implanted layer is 1.25 to 1.5×1017 atoms/cm2, the dose amount for the second ion-implanted layer is 1.0×1014 to 1×1016 atoms/cm2, the wafer is preheated to a temperature of 50° C. to 200° C. before forming the second ion-implanted layer, and the second ion-implanted layer is formed in a state where it is continuously heated to a preheating temperature.
    Type: Grant
    Filed: February 21, 2007
    Date of Patent: June 1, 2010
    Assignee: Sumco Corporation
    Inventors: Yoshiro Aoki, Bong-Gyun Ko
  • Patent number: 7727868
    Abstract: A method and device for reducing a dopant diffusion rate in a doped semiconductor region is provided. The methods and devices include selecting a plurality of dopant elements. Selection of a plurality of dopant elements includes selecting a first dopant element with a first atomic radius larger than a host matrix atomic radius and selecting a second dopant element with a second atomic radius smaller than a host matrix atomic radius. The methods and devices further include selecting amounts of each dopant element of the plurality of dopant elements wherein amounts and atomic radii of each of the plurality of dopant elements complement each other to reduce a host matrix lattice strain. The methods and devices further include introducing the plurality of dopant elements to a selected region of the host matrix and annealing the selected region of the host matrix.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: June 1, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Paul A. Farrar, Jerome M. Eldridge
  • Publication number: 20100129975
    Abstract: An improved base for a NPN bipolar transistor. The base region is formed with Boron and Indium dopants for improved beta early voltage product and reduced base resistance.
    Type: Application
    Filed: February 1, 2010
    Publication date: May 27, 2010
    Applicant: INTERSIL AMERICAS INC.
    Inventor: James D. Beasom
  • Publication number: 20100117069
    Abstract: Optimized electrodes for ReRAM memory cells and methods for forming the same are discloses. One aspect comprises forming a first electrode, forming a state change element in contact with the first electrode, treating the state change element, and forming a second electrode. Treating the state change element increases the barrier height at the interface between the second electrode and the state change element. Another aspect comprises forming a first electrode in a manner to deliberately establish a certain degree of amorphization in the first electrode, forming a state change element in contact with the first electrode. The degree of amorphization of the first electrode is either at least as great as the degree of amorphization of the state change element or no more than 5 percent less than the degree of amorphization of the state change element.
    Type: Application
    Filed: February 3, 2009
    Publication date: May 13, 2010
    Inventors: Depak C. Sekar, April Schricker, Xiying Chen, Klaus Schuegraf, Raghuveer S. Makala
  • Patent number: 7713765
    Abstract: A method for manufacturing a semiconductor device having a compound semiconductor layer that is provided on a substrate and includes a cladding layer of a first conductivity type, an activation layer, a cladding layer of a second conductivity type that is the opposite of the first conductivity type, includes the steps of: forming a diffusion source layer on the compound semiconductor layer; forming a first diffusion region in the compound semiconductor layer by carrying out a first heat treatment, so that the first diffusion region includes a light emitting facet for emitting light from the activation layer; removing the diffusion source layer; forming a first SiN film having a refractive index of 1.9 or higher on the compound semiconductor layer; and turning the first diffusion region into the second diffusion region by carrying out a second heat treatment.
    Type: Grant
    Filed: July 28, 2008
    Date of Patent: May 11, 2010
    Assignee: Eudyna Devices Inc.
    Inventors: Takeshi Sakashita, Masanori Saito
  • Publication number: 20100109099
    Abstract: A semiconductor device including a semiconductor substrate, an interface layer formed on the semiconductor substrate including at least 1×1020 atoms/cm3 of S (Sulfur), a metal-semiconductor compound layer formed on the interface layer, the metal-semiconductor compound layer including at least 1×1020 atoms/cm3 of S in the its whole depth, and a metal electrode formed on the metal-semiconductor compound layer.
    Type: Application
    Filed: October 30, 2009
    Publication date: May 6, 2010
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Yoshifumi NISHI, Atsuhiro Kinoshita
  • Patent number: 7709337
    Abstract: It is an object of the present invention to provide a method for manufacturing an SOI substrate having an SOI layer that can be used in practical applications with high yield even when a flexible substrate such as a glass substrate or a plastic substrate is used. Further, it is another object of the present invention to provide a method for manufacturing a thin semiconductor device using such an SOI substrate with high yield. When a single-crystal semiconductor substrate is bonded to a flexible substrate having an insulating surface and the single-crystal semiconductor substrate is separated to manufacture an SOI substrate, one or both of bonding surfaces are activated, and then the flexible substrate having an insulating surface and the single-crystal semiconductor substrate are attached to each other.
    Type: Grant
    Filed: March 21, 2008
    Date of Patent: May 4, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Yasuhiro Jinbo, Hironobu Shoji, Hideto Ohnuma, Shunpei Yamazaki
  • Patent number: 7704822
    Abstract: Embodiments relate to a semiconductor device. According to embodiments, a semiconductor device may include a plurality of wells formed on a substrate, threshold voltage control ion layers formed around surfaces of the wells, device isolation layers arranged between the wells, ion compensation layers formed on edges and bottoms of the device isolation layers, and a gate formed on the well.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: April 27, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Hyeong Gyun Jeong
  • Patent number: 7700390
    Abstract: A method for fabricating a three-dimensional photonic crystal comprises the steps of: forming a dielectric thin film; injecting ions selectively into the dielectric thin film by using a focus ion beam to form a mask on the dielectric thin film; forming a pattern by selectively removing an exposed part of the dielectric thin film at which the mask is not formed on the dielectric thin film; forming a sacrificial layer on the dielectric thin film having the pattern formed therein; and flattening the sacrificial layer formed on the dielectric thin film until the pattern comes to the surface.
    Type: Grant
    Filed: May 12, 2008
    Date of Patent: April 20, 2010
    Assignee: Canon Kabushiki Kaisha
    Inventors: Shinan Wang, Kenji Tamamori, Taiko Motoi, Masahiko Okunuki, Haruhito Ono, Toshiaki Aiba
  • Publication number: 20100093163
    Abstract: The present invention provides a method for manufacturing a semiconductor device which includes a step of forming one optional impurity region in a semiconductor substrate at a place apart from the surface thereof, and in the method described above, ion implantation is performed a plurality of times while the position of an end portion of a mask pattern used for ion implantation is changed.
    Type: Application
    Filed: December 18, 2009
    Publication date: April 15, 2010
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventor: Takuji TANAKA
  • Publication number: 20100093164
    Abstract: On the top surface of a thin semiconductor wafer, top surface structures forming a semiconductor chip are formed. The top surface of the wafer is affixed to a supporting substrate with a double-sided adhesive tape. Then, from the bottom surface of the thin semiconductor wafer, a trench, which becomes a scribing line, is formed by wet anisotropic etching so that side walls of the trench are exposed. On the side walls of the trench with the crystal face exposed, an isolation layer with a conductivity type different from that of the semiconductor wafer for holding a reverse breakdown voltage is formed simultaneously with a collector region of the bottom surface diffused layer by ion implantation, followed by annealing with laser irradiation. The side walls form a substantially V-shaped or trapezoidal-shaped cross section, with an angle of the side wall relative to the supporting substrate being 30-70°. The double-sided adhesive tape is then removed from the top surface to produce semiconductor chips.
    Type: Application
    Filed: October 8, 2009
    Publication date: April 15, 2010
    Applicant: FUJI ELECTRIC HOLDINGS CO., LTD.
    Inventors: Haruo NAKAZAWA, Kazuo SHIMOYAMA, Manabu TAKEI
  • Patent number: 7696073
    Abstract: The present invention relates to a method for producing an n-type ZnTe system compound semiconductor single crystal having high carrier concentration and low resistivity, the ZnTe system compound semiconductor single crystal, and a semiconductor device produced by using the ZnTe system compound semiconductor as a base member. Concretely, a first dopant and a second dopant are co-doped into the ZnTe system compound semiconductor single crystal so that the number of atoms of the second dopant becomes smaller than the number of atoms of the first dopant, the first dopant being for controlling a conductivity type of the ZnTe system compound semiconductor to a first conductivity type, and the second dopant being for controlling the conductivity type to a second conductivity type different from the first conductivity type. By the present invention, a desired carrier concentration can be achieved with a doping amount smaller than in earlier technology, and crystallinity of the obtained crystal can be improved.
    Type: Grant
    Filed: November 26, 2007
    Date of Patent: April 13, 2010
    Assignee: Nippon Mining & Metals Co., Ltd.
    Inventors: Tetsuya Yamamoto, Atsutoshi Arakawa, Kenji Sato, Toshiaki Asahi
  • Publication number: 20100087013
    Abstract: The present invention generally relates to nanotechnology and sub-microelectronic circuitry, as well as associated methods and devices, for example, nanoscale wire devices and methods for use in determining nucleic acids or other analytes suspected to be present in a sample (for example, their presence and/or dynamical information), e.g., at the single molecule level. For example, a nanoscale wire device can be used in some cases to detect single base mismatches within a nucleic acid (e.g., by determining association and/or dissociation rates). In one aspect, dynamical information such as a binding constant, an association rate, and/or a dissociation rate, can be determined between a nucleic acid or other analyte, and a binding partner immobilized relative to a nanoscale wire. In some cases, the nanoscale wire includes a first portion comprising a metal-semiconductor compound, and a second portion that does not include a metal-semiconductor compound.
    Type: Application
    Filed: June 11, 2007
    Publication date: April 8, 2010
    Applicant: President and Fellows of Harvard College
    Inventors: Charles M. Lieber, Ying Fang, Fernando Patolsky
  • Publication number: 20100087053
    Abstract: A method for fabricating a semiconductor body is presented. The semiconductor body includes a p-conducting zone, an n-conducting zone and a pn junction in a depth T1 in the semiconductor body between the p-conducting zone and the n-conducting zone. The method includes providing the semiconductor body, producing the p-doped zone by the diffusion of an impurity that forms an acceptor in a first direction into the semiconductor body, and producing the n-conducting zone by the implantation of protons in the first direction into the semiconductor body into a depth T2>T1 and the subsequent heat treatment of the semiconductor body in order to form hydrogen-induced donors.
    Type: Application
    Filed: September 30, 2009
    Publication date: April 8, 2010
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Frank Hille, Franz Josef Niedernostheide, Hans-Joachim Schulze, Holger Schulze
  • Publication number: 20100087054
    Abstract: The invention provides a method for forming a deep well region of a power device, including: providing a substrate with a first sacrificial layer thereon; forming a first patterned mask layer on the first sacrificial layer exposing a first open region; performing a first doping process to the first open region to form a first sub-doped region; removing the first patterned mask layer and the first sacrificial layer; forming an epitaxial layer on the substrate; forming a second sacrificial layer on the epitaxial layer; forming a second patterned mask layer on the second sacrificial layer exposing a second open region; performing a second doping process to the second open region to form a second sub-doped region; removing the second patterned mask layer; performing an annealing process to make the first and the second sub-doped regions form a deep well region; and removing the second sacrificial layer.
    Type: Application
    Filed: November 25, 2008
    Publication date: April 8, 2010
    Applicant: VANGUARD INTERNATIONAL SEMICONDUCTOR CORPORATION
    Inventors: Shanghui L. Tu, Hung-Shern Tsai, Jui-Chun Chang
  • Patent number: 7682913
    Abstract: A process for making a MCSFET includes providing a first implant through a first side of an elongated stack, and then providing a second implant through a second side of the stack. The first implant has a dose different than the dose of the second implant, so that final dopant concentrations in the first and second sides differ and the transistor has two threshold voltages Vt1, Vt2.
    Type: Grant
    Filed: January 26, 2009
    Date of Patent: March 23, 2010
    Assignee: International Business Machines Corporation
    Inventors: Xu Ouyang, Louis Lu-Chen Hsu, Xinhui Wang, Haizhou Yin
  • Patent number: 7682857
    Abstract: A method for manufacturing a semiconductor optical device includes: forming a p-type cladding layer; forming a capping layer on the p-type cladding layer, the capping layer being selectively etchable relative to the p-type cladding layer; forming a through film on the capping layer; forming a window structure by ion implantation; removing the through film after the ion implantation; and selectively removing the capping layer using a chemical solution.
    Type: Grant
    Filed: January 25, 2008
    Date of Patent: March 23, 2010
    Assignee: Mitsubishi Electric Corporation
    Inventors: Yoshihiko Hanamaki, Takehiro Nishida, Makoto Takada, Kenichi Ono
  • Publication number: 20100068873
    Abstract: A semiconductor device and a method of manufacturing are provided. A dielectric layer is formed over a substrate, and a first silicon-containing layer, undoped, is formed over the dielectric layer. Atomic-layer doping is used to dope the undoped silicon-containing layer. A second silicon-containing layer is formed over first silicon-containing layer. The process may be expanded to include forming a PMOS and NMOS device on the same wafer. For example, the first silicon-containing layer may be thinned in the PMOS region prior to the atomic-layer doping. In the NMOS region, the doped portion of the first silicon-containing layer is removed such that the remaining portion of the first silicon-containing layer in the NMOS is undoped. Thereafter, another atomic-layer doping process may be used to dope the first silicon-containing layer in the NMOS region to a different conductivity type. A third silicon-containing layer may be formed doped to the respective conductivity type.
    Type: Application
    Filed: September 16, 2008
    Publication date: March 18, 2010
    Inventors: Jing-Cheng Lin, Chen-Hua Yu
  • Publication number: 20100068848
    Abstract: A one-step diffusion method for fabricating a differential doped solar cell is described. The one-step diffusion method includes the following step. First, a substrate is provided. A doping control layer is formed on the substrate. The doping control layer includes a plurality of openings therein.
    Type: Application
    Filed: March 3, 2009
    Publication date: March 18, 2010
    Inventors: Ming-Chin Kuo, Chin-Chiang Huang, Li-Guo Wu, Jen-Ho Kang, Nai-Tien Ou, Tien-Szu Chen
  • Patent number: 7675133
    Abstract: A persistent p-type group II-VI semiconductor material is disclosed containing atoms of group II elements, atoms of group VI elements, and a p-type dopant which replaces atoms of the group VI element in the semiconductor material. The p-type dopant has a negative oxidation state. The p-type dopant causes formation of vacancies of atoms of the group II element in the semiconductor material. Fabrication methods and solid state devices containing the group II-VI semiconductor material are disclosed.
    Type: Grant
    Filed: June 17, 2005
    Date of Patent: March 9, 2010
    Inventors: Robert H. Burgener, II, Roger L. Felix, Gary M. Renlund
  • Publication number: 20100055887
    Abstract: A method of semiconductor junction formation in Laser diffusion process for fabrication of solar cells provides for delivery of inert gases in the vicinity of the Si wafer while dopant species are being diffused form a dopant source into the surface of the wafer irradiated by a laser beam. The laser beam is emitted by CW- or pulsed operated lasers including fiber lasers. Optionally, the passivation of the surface and formation of the antireflection coating are performed simultaneously with the diffusion of the dopant species.
    Type: Application
    Filed: September 2, 2009
    Publication date: March 4, 2010
    Inventor: Bernhard P. Piwczyk
  • Publication number: 20100048006
    Abstract: Phosphorous-comprising dopants, methods for forming phosphorous-doped regions in a semiconductor material, and methods for fabricating phosphorous-comprising dopants are provided. In one embodiment, a phosphorous-comprising dopant comprises a phosphorous source comprising a phosphorous-comprising salt, a phosphorous-comprising acid, phosphorous-comprising anions, or a combination thereof, an alkaline material, cations from an alkaline material, or a combination thereof, and a liquid medium.
    Type: Application
    Filed: August 20, 2008
    Publication date: February 25, 2010
    Applicant: HONEYWELL INTERNATIONAL INC.
    Inventors: Hong Min Huang, Carol Gao, Zhe Ding, Albert Peng, Ya Qun Liu
  • Publication number: 20100044670
    Abstract: A memory device includes a composite dielectric layer overlying a substrate. The composite dielectric layer includes a first dielectric layer, a bonding interface, and a second dielectric layer. The first and the second dielectric layers are bonded together at the bonding interface. A first plurality of conductive lines overlies the combined dielectric layer. One or more semiconductor switching devices formed in a single-crystalline semiconductor layer overlie and are coupled with one of the first plurality of conductive lines. The memory device also has one or more two-terminal memory elements, each of which overlies and is coupled to a corresponding one of the single-crystalline switching device. A second plurality of conductive lines overlies the memory elements. In the memory device, each of the memory elements is coupled to one of the first plurality of conductive lines and one of the second plurality of conductive lines.
    Type: Application
    Filed: March 10, 2009
    Publication date: February 25, 2010
    Inventor: Peiching Ling