Deposition/post-treatment Of Noninsulating, E.g., Conductive - Or Resistive - Layers On Insulating Layers (epo) Patents (Class 257/E21.294)
  • Publication number: 20090020823
    Abstract: A semiconductor device of the present invention includes a first transistor, a first stress-inducing film, a first insulating film, and a second insulating film. The first transistor is formed in a first active region of a semiconductor substrate, and includes a first gate electrode. The first stress-inducing film is formed so as to cover the first gate electrode, and applies a stress to the channel region of the first transistor. The first insulating film is formed on the first stress-inducing film and has a planarized upper surface. The second insulating film is formed on the first insulating film.
    Type: Application
    Filed: July 1, 2008
    Publication date: January 22, 2009
    Inventor: Tomohiro Fujita
  • Publication number: 20090020824
    Abstract: A complementary semiconductor device comprising an n-channel transistor and a p-channel transistor, including: the n-channel transistor including a gate insulating film and a first metal gate electrode formed on the gate insulating film and having a first compound layer including a first metal (M1) and silicon (Si); and the p-channel transistor including a gate insulating film and a second metal gate electrode formed on the gate insulating film and having a second compound layer including the first metal (M1), a second metal (M2), and silicon (Si), wherein the composition of the first compound layer is represented by a composition formula: M1Six (1?x), and the composition of the second compound layer is represented by a composition formula: M1M2Siy (0<y?0.5).
    Type: Application
    Filed: July 14, 2008
    Publication date: January 22, 2009
    Inventor: Masaru KADOSHIMA
  • Publication number: 20090023280
    Abstract: Structures and methods of fabricating of a floating gate non-volatile memory device. In a first example embodiment, We form a bottom tunnel layer comprised of a lower oxide tunnel layer and a upper hafnium oxide tunnel layer; a charge storage layer comprised of a tantalum oxide and a top blocking layer preferably comprised of a lower hafnium oxide storage layer and an upper oxide storage layer. We form a gate electrode over the top blocking layer. We pattern the layers to form a gate structure and form source/drain regions to complete the memory device. In a second example embodiment, we form a floating gate non-volatile memory device comprised of: a bottom tunnel layer comprised essentially of silicon oxide; a charge storage layer comprised of a tantalum oxide; a top blocking layer comprised essentially of silicon oxide; and a gate electrode. The embodiments also comprise anneals and nitridation steps.
    Type: Application
    Filed: October 1, 2008
    Publication date: January 22, 2009
    Applicant: CHARTERED SEMICONDUCTOR MANUFACTURING, LTD.
    Inventors: Chew-Hoe ANG, Dong Kyun SOHN, Liang Choo HSIA
  • Publication number: 20090020822
    Abstract: A semiconductor device includes an n-type MIS transistor and a p-type MIS transistor. The n-type MIS transistor includes a first gate electrode formed on a first active region and a first sidewall formed on the side face of the first gate electrode. The p-type MIS transistor includes a second gate electrode formed on a second active region, a second sidewall formed on the side face of the second gate electrode and strain layers formed in the second active region. The second sidewall has a smaller thickness than the first sidewall.
    Type: Application
    Filed: June 30, 2008
    Publication date: January 22, 2009
    Inventors: Kentaro NAKANISHI, Hiromasa FUJIMOTO, Takayuki YAMADA
  • Publication number: 20090023287
    Abstract: An interconnection process is described. A substrate having a conductive region formed therein is provided. A dielectric layer is formed on the substrate. A patterned metal hard mask layer having a trench opening is formed on the dielectric layer. A dielectric hard mask layer is formed conformally on the patterned metal hard mask layer and filled in the trench opening. A photoresist pattern is defined to remove a portion of the dielectric hard mask layer and a portion of the dielectric layer to form a first opening in the dielectric layer. The photoresist pattern is removed. A first etching process is performed using the patterned metal hard mask layer as a mask to form a trench and a second opening extending downward from the first opening in the dielectric layer. The second opening exposes the conductive region. A conductive layer is formed in the trench and the second opening.
    Type: Application
    Filed: July 25, 2008
    Publication date: January 22, 2009
    Applicant: United Microelectronics Corp.
    Inventors: Hong MA, Shi-Jie Bai
  • Publication number: 20090014777
    Abstract: Provided are flash memory devices. Embodiments of such devices may include a tunnel insulator formed on a substrate, a charge-storage layer formed on the tunnel insulator, a lower buffer layer formed on the charge-storage layer, a blocking layer formed on the lower buffer layer, and a first gate electrode formed on the blocking layer. Such devices may include second gate electrode formed on the first gate electrode, such that the lower buffer layer includes a silicon-free insulator, the blocking layer includes oxides or ternary lanthanum compounds, and the oxides or ternary lanthanum compounds include lanthanide elements.
    Type: Application
    Filed: June 23, 2008
    Publication date: January 15, 2009
    Inventors: Chun-Hyung Chung, Seung-Hwan Lee, Bong-Jin Kuh, Sun-Jung Kim, Hoon-Sang Choi, Sang-Wook Lim, Young-Sun Kim
  • Publication number: 20090014758
    Abstract: In a semiconductor device, a SiN first protective insulating film is formed on a semiconductor layer. A T-shaped gate electrode is formed on the semiconductor layer. A SiN second protective insulating film spreads in an umbrella shape from above the T-shaped gate electrode. A hollow region is formed between the two SiN films. The SiN films are coated with a SiN third protective insulating film with the hollow region remaining.
    Type: Application
    Filed: November 21, 2007
    Publication date: January 15, 2009
    Applicant: MITSUBISHI ELECTRIC CORPORATION
    Inventors: Yoichi Nogami, Toshikazu Hirayama
  • Patent number: 7476604
    Abstract: A method of forming a contact through a material includes forming a via through a dielectric material and cleaning the via using a dilute hydrofluoric (DHF) acid solution. The method further includes depositing a barrier layer within the via and depositing metal adjacent the barrier layer.
    Type: Grant
    Filed: May 13, 2005
    Date of Patent: January 13, 2009
    Assignees: Advanced Micro Devices, Inc., Spansion LLC
    Inventors: Ning Cheng, Minh Van Ngo, Jinsong Yin, Paul Raymond Besser, Connie Pin-chin Wang, Russell Rosaire Austin Callahan, Jeffrey Shields, Shankar Sinha, Jeff P. Erhardt, Jeremy Chi-Hung Chou
  • Publication number: 20090008701
    Abstract: A nonvolatile memory device includes a semiconductor substrate, a charge trap layer formed on the semiconductor substrate, a blocking layer formed on the charge trap layer, and a gate electrode formed on the blocking layer. Sides of blocking layer extend laterally beyond sides of the charge trap layer and lateral sides of the gate electrode.
    Type: Application
    Filed: October 31, 2006
    Publication date: January 8, 2009
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Dong-Hyun KIM, Gyung-Jin MIN, Chang-Jin KANG, Seung-Pil CHUNG
  • Publication number: 20090008702
    Abstract: Dielectric materials having implanted metal sites and methods of their fabrication have been described. Such materials are suitable for use as charge-trapping nodes of non-volatile memory cells for memory devices. By incorporating metal sites into dielectric charge-trapping materials using an ammonia plasma and a metal source in contact with the plasma, improved programming and erase voltages may be facilitated.
    Type: Application
    Filed: July 6, 2007
    Publication date: January 8, 2009
    Inventor: Nirmal Ramaswamy
  • Publication number: 20090011587
    Abstract: A method of fabricating a semiconductor device is provided. The method of fabricating the semiconductor device comprises providing a substrate. Next, an insulating layer, a conductive layer and a silicide layer are formed on the substrate in sequence. Next, a hard masking layer is formed on the silicide layer exposing a portion of the silicide layer. A first etching step is performed to remove the silicide layer and the underlying conductive layer which are not covered by the hard masking layer, thereby forming a gate stack. And next, a second etching step is performed to remove any remaining conductive layer not covered by the hard masking layer after the first etching step. The second etching step is performed with an etchant comprising ammonium hydroxide.
    Type: Application
    Filed: November 1, 2007
    Publication date: January 8, 2009
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Tzu-Ching TSAI, Tse-Yao HUANG, Yi-Nan CHEN
  • Publication number: 20090008725
    Abstract: A method of forming an electropositive metal-containing capping layer atop a stack of a high k gate dielectric/interfacial layer that avoids chemically and physically altering the high k gate dielectric and the interfacial layer is provided. The method includes chemical vapor deposition of an electropositive metal-containing precursor at a temperature that is about 400° C. or less. The present invention also provides semiconductor structures such as, for example, MOSCAPs and MOSFETs, that include a chemical vapor deposited electropositive metal-containing capping layer atop a stack of a high k gate dielectric and an interfacial layer. The presence of the CVD electropositive metal-containing capping layer does not physically or chemically alter the high k gate dielectric and the interfacial layer.
    Type: Application
    Filed: July 3, 2007
    Publication date: January 8, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Supratik Guha, Fenton R. McFeely, Vijay Narayanan, Vamsi K. Paruchuri, John J. Yurkas
  • Publication number: 20090011589
    Abstract: A method of manufacturing a split gate type nonvolatile semiconductor memory device in which control gates are formed by a self aligning process.
    Type: Application
    Filed: September 17, 2008
    Publication date: January 8, 2009
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Hee-seog JEON, Seung-beom YOON
  • Publication number: 20090001448
    Abstract: A semiconductor memory device having a cell size of 60 nm or less includes a tunnel insulation film formed in a channel region of a silicon substrate containing a burying insulation film, a first conductive layer formed on the tunnel insulation film, an inter-electrode insulation film formed on the burying insulation film and the first conductive layer, a second conductive layer formed on the inter-electrode insulation film, a side wall insulation film formed on the side walls of the first conductive layer, the second conductive layer, and the inter-electrode insulation film, and an inter-layer insulation film formed on the side wall insulation film. The tunnel insulation film or the inter-electrode insulation film contains a high-dielectric insulation film. The side wall insulation film contains a predetermined concentration of carbon and nitrogen as well as chlorine having a concentration of 1×1019 atoms/cm3 or less.
    Type: Application
    Filed: May 9, 2008
    Publication date: January 1, 2009
    Inventors: Katsuyuki Sekine, Masayuki Tanaka, Katsuaki Natori, Daisuke Nishida, Ryota Fujitsuka, Yoshio Ozawa, Akihito Yamamoto
  • Publication number: 20090001480
    Abstract: The present invention provides a high-k gate dielectric/metal gate MOSFET that has a reduced parasitic capacitance. The inventive structure includes at least one metal oxide semiconductor field effect transistor (MOSFET) 100 located on a surface of a semiconductor substrate 12. The least one MOSFET 100 includes a gate stack including, from bottom to top, a high-k gate dielectric 28 and a metal-containing gate conductor 30. The metal-containing gate conductor 30 has gate corners 31 located at a base segment of the metal-containing gate conductor. Moreover, the metal-containing gate conductor 30 has vertically sidewalls 102A and 102B devoid of the high-k gate dielectric 28 except at the gate corners 31. A gate dielectric 18 laterally abuts the high-k gate dielectric 28 present at the gate corners 31 and a gate spacer 36 laterally abuts the metal-containing gate conductor 30.
    Type: Application
    Filed: June 27, 2007
    Publication date: January 1, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Kangguo Cheng
  • Publication number: 20080318405
    Abstract: A method of fabricating a gate structure is provided. First, a sacrificial oxide layer is formed on a substrate. A nitridation treatment process is performed to redistribute the nitrogen atoms in the sacrificial layer and the substrate. Next, the sacrificial oxide layer is removed. A re-oxidation process is performed to produce an interface layer on the surface of the substrate. A high K (dielectric constant) gate dielectric layer, a barrier layer and a metal layer are sequentially formed on the substrate. The metal layer, the barrier layer, the high K gate dielectric layer and the interface layer are defined to form a stacked gate structure.
    Type: Application
    Filed: August 15, 2008
    Publication date: December 25, 2008
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Yun-Ren Wang, Ying-Wei Yen, Shu-Yen Chan, Kuo-Tai Huang
  • Publication number: 20080318406
    Abstract: In a split gate type nonvolatile memory device and a method of fabricating the same. A supplementary layer pattern is disposed on a source region of a semiconductor substrate. Since the source region is vertically extended by virtue of the presence of the supplementary layer pattern, it is therefore possible to increase an area of a region where a floating gate overlaps the source region and the supplementary layer pattern. Accordingly, the capacitance of a capacitor formed between the source and the floating gate increases so that it is possible for the nonvolatile memory device to perform program/erase operations at a low voltage level.
    Type: Application
    Filed: August 19, 2008
    Publication date: December 25, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hee-Seog Jeon, Seung-Beom Yoon, Jeong-Uk Han, Yong-Tae Kim
  • Publication number: 20080315281
    Abstract: Disclosed are a flash memory device and a method of manufacturing the same. In the method of manufacturing the flash memory device, gate patterns of a cell area and a logic area are formed by sequentially depositing and patterning a first polysilicon layer, an ONO layer and a second polysilicon layer without separately performing a photolithography process for one of the gate patterns. A mask process for removing a dummy gate pattern in the logic area is performed to form transistors in the cell area and the logic area, so that the manufacturing process is simplified.
    Type: Application
    Filed: June 23, 2008
    Publication date: December 25, 2008
    Inventor: Sung Kun PARK
  • Publication number: 20080311736
    Abstract: A method of forming an ohmic layer for a semiconductor device includes forming a metal layer on a Silicon Carbide (SiC) layer and forming an ablation capping layer on the metal layer. Laser light is impinged through the ablation capping layer to form a metal-SiC material.
    Type: Application
    Filed: June 14, 2007
    Publication date: December 18, 2008
    Inventors: Eric Mayer, Marc Alberti
  • Publication number: 20080305621
    Abstract: There is disclosed a method of applying stress to a channel region underneath a gate of a field-effect-transistor, which includes the gate, a source region, and a drain region. The method includes steps of embedding stressors in the source and drain regions of the FET; forming a stress liner covering the gate and the source and drain regions; removing a portion of the stress liner, the portion of the stress liner being located on top of the gate of the FET; removing at least a substantial portion of the gate of a first gate material and thus creating an opening therein; and filling the opening with a second gate material.
    Type: Application
    Filed: June 8, 2007
    Publication date: December 11, 2008
    Applicants: INTERNATIONAL BUSINESS MACHINES CORPORATION, INFINEON TECHNOLOGIES NORTH AMERICA CORP.
    Inventors: Thomas Dyer, Rajendran Krishnasamy, Jin-Ping Han, Ernst Demm
  • Publication number: 20080305597
    Abstract: The object of the present invention is to provide a method of manufacturing high permittivity gate dielectrics for a device such as an MOSFET. A HfSiO film 104 is formed by sputtering a Hf metal film 103 on a SiO2 film (or a SiON film) 102 on a Si wafer 101. A TiO2 film 106 is formed by sputtering a Ti metal film 105 on the HfSiO film 104 and subjecting the Ti metal film 105 to a thermal oxidation treatment. A TiN metal film 107 is deposited on the TiO2 film 106. The series of treatments are performed continuously, without exposing the films and the wafer to atmospheric air. The resultant TiN/TiO2/HfSiO/SiO2/Si structure satisfies the conditions: EOT<1.0 nm, low leakage current, and hysteresis<20 mV.
    Type: Application
    Filed: November 1, 2007
    Publication date: December 11, 2008
    Applicant: CANON ANELVA CORPORATION
    Inventors: Naomu Kitano, Takashi Minami, Motomu Kosuda, Heiji Watanabe
  • Publication number: 20080296778
    Abstract: A method of manufacturing an integrated circuit and an interconnection structure includes forming a conductive portion along a first direction and conductive lines along a second direction.
    Type: Application
    Filed: May 30, 2007
    Publication date: December 4, 2008
    Applicant: QIMONDA AG
    Inventors: Martin Roessiger, Christoph Kleint
  • Publication number: 20080296773
    Abstract: A semiconductor device is disclosed that improves heat dissipation by providing blind contact elements on a dielectric layer. Embodiments are disclosed which include a substrate having at least one electrode contact area accessible at a surface of the substrate and a surface adjacent the electrode contact area, a dielectric layer disposed above the surface; an intermediate oxide layer disposed above the dielectric layer, a current conducting metallization layer disposed above the intermediate oxide layer; and at least one contact element vertically extending from the dielectric layer through the intermediate oxide layer to the metallization layer above the surface adjacent the electrode contact area, the at least one contact element having a heat conductivity that is higher than that of the intermediate oxide layer.
    Type: Application
    Filed: May 31, 2007
    Publication date: December 4, 2008
    Inventor: Matthias Stecher
  • Publication number: 20080299754
    Abstract: A method for forming a semiconductor structure includes providing a semiconductor substrate; forming a gate dielectric layer on the semiconductor substrate; forming a metal-containing layer on the gate dielectric; and forming a composite layer over the metal-containing layer. The step of forming the composite layer includes forming an un-doped silicon layer substantially free from p-type and n-type impurities; and forming a silicon layer adjoining the un-doped silicon layer. The step of forming the silicon layer comprises in-situ doping a first impurity. (or need to be change to: forming a silicon layer first & then forming un-doped silicon layer) The method further includes performing an annealing to diffuse the first impurity in the silicon layer into the un-doped silicon layer.
    Type: Application
    Filed: May 31, 2007
    Publication date: December 4, 2008
    Inventors: Chen-Hua Yu, Cheng-Tung Lin, Liang-Gi Yao
  • Publication number: 20080296662
    Abstract: A discrete trap memory, comprising a silicon substrate layer, a bottom oxide layer on the silicon substrate layer, a Fullerene layer on the bottom oxide layer, a top oxide layer on the Fullerene layer, and a gate layer on the top oxide layer; wherein the Fullerene layer comprises spherical, elliptical or endohedral Fullerenes that act as charge traps.
    Type: Application
    Filed: May 30, 2007
    Publication date: December 4, 2008
    Inventors: Gerhard Poeppel, Georg Tempel
  • Publication number: 20080296705
    Abstract: A gate including a conductive buffer layer and a conductive layer is provided. The conductive buffer layer is disposed on a gate dielectric layer, and the average grain size of the conductive buffer layer is less than 100 nm. The conductive layer is disposed on the conductive buffer layer, and the average grain size of the conductive layer is greater than or equal to 100 nm. The disposition of the conductive buffer layer reduces the undesired effect caused by noise and dark current to the performance of the device.
    Type: Application
    Filed: May 29, 2007
    Publication date: December 4, 2008
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventor: Jhy-Jyi Sze
  • Publication number: 20080290474
    Abstract: A multi-layer circuit substrate and method having improved transmission line integrity and increased routing density uses a selectively applied transmission line reference plane metal layer to achieve signal path shielding and isolation, while avoiding drops in impedance due to capacitance between large diameter vias and the transmission line reference plane metal layer. The transmission line reference plane defines voids above (or below) the signal-bearing plated-through holes (PTHs) that pass through a rigid substrate core, so that the signals are not degraded by an impedance mismatch that would otherwise be caused by shunt capacitance from the top (or bottom) of the signal-bearing PTHs to the transmission line reference plane. For voltage-plane bearing PTHs, no voids are introduced, so that signal path conductors can be routed above or adjacent to the voltage-plane bearing PTHs, with the transmission line reference plane preventing shunt capacitance between the signal path conductors and the PTHs.
    Type: Application
    Filed: May 22, 2007
    Publication date: November 27, 2008
    Inventors: Sungjun Chun, Anand Haridass, Roger D. Weekly
  • Publication number: 20080290394
    Abstract: A nonvolatile memory cell includes a substrate comprising a source, drain, and channel between the source and the drain. A tunnel dielectric layer overlies the channel, and a localized charge storage layer is disposed between the tunnel dielectric layer and a control dielectric layer. A gate electrode has a first surface adjacent to the control dielectric layer, and the first surface includes a midsection and two edge portions. According to one embodiment, the midsection defines a plane, and at least one edge portion extends away from the plane. Preferably, the edge portion extending away from the plane converges toward an opposing second surface of the gate electrode. According to another embodiment, the gate electrode of the nonvolatile memory cell includes a first sublayer and a second sublayer of a different width on the first sublayer.
    Type: Application
    Filed: May 15, 2008
    Publication date: November 27, 2008
    Inventors: Xiangfeng DUAN, Jian Chen, J. Wallace Parce, Francisco A. Leon
  • Publication number: 20080290400
    Abstract: Scaling a nonvolatile trapped-charge memory device and the article made thereby. In an embodiment, scaling includes multiple oxidation and nitridation operations to provide a tunneling layer with a dielectric constant higher than that of a pure silicon dioxide tunneling layer but with a fewer hydrogen and nitrogen traps than a tunneling layer having nitrogen at the substrate interface. In an embodiment, scaling includes forming a charge trapping layer with a non-homogenous oxynitride stoichiometry. In one embodiment the charge trapping layer includes a silicon-rich, oxygen-rich layer and a silicon-rich, oxygen-lean oxynitride layer on the silicon-rich, oxygen-rich layer. In an embodiment, the method for scaling includes a dilute wet oxidation to density a deposited blocking oxide and to oxidize a portion of the silicon-rich, oxygen-lean oxynitride layer.
    Type: Application
    Filed: September 26, 2007
    Publication date: November 27, 2008
    Inventors: Fredrick B. Jenne, Sagy Levy
  • Publication number: 20080283899
    Abstract: A method for manufacturing on a substrate (24) a semiconductor device with improved floating-gate to control-gate coupling ratio is described. The method comprises the steps of first forming an isolation zone (22) in the substrate (24), thereafter forming the floating gate (28) on the substrate (24), thereafter extending the floating gate (28) using polysilicon spacers (40), and thereafter forming the control gate (44) over the floating gate (28) and the polysilicon spacers (40). Such a semiconductor device may be used in flash memory cells or EEPROMs.
    Type: Application
    Filed: July 15, 2008
    Publication date: November 20, 2008
    Applicant: NXP B.V.
    Inventors: ANTONIUS MARIA PETRUS JOHANNES HENDRIKS, JOSEPHUS FRANCISCUS ANTONIUS MARIA GUELEN, GUIDO JOZEF MARIA DORMANS
  • Publication number: 20080286446
    Abstract: A method for forming electrically stimulable materials, including programmable resistance and electrical switching materials, in high aspect ratio features. The method includes forming a seed layer in the recessed portion of a feature and using the seed layer to direct the vapor phase deposition of an electrically stimulable material. The seed layer may provide nucleation sites that lead to preferential deposition of the electrically stimulable material on the seed layer relative to the sidewalls of the feature. The seed layer may promote the formation of a finely crystalline morphology of the electrically stimulable material to facilitate deposition in the recessed portions of a feature and inhibit blocking of the top of the feature by large crystals.
    Type: Application
    Filed: June 23, 2008
    Publication date: November 20, 2008
    Inventors: Smuruthi Kamepalli, Tyler Lowrey
  • Publication number: 20080283907
    Abstract: A semiconductor device is provided with first and second silicon pillars formed substantially perpendicularly to a main surface of a substrate, a gate electrode covering side surfaces of the first and second silicon pillars via a gate insulation film, first and second diffusion layers provided on a lower part and an upper part of the first silicon pillar, respectively, a cap insulation film covering an upper part of the second silicon pillar, a gate contact connected to the gate electrode, and a protection insulation film in contact with the upper surfaces of the first and second silicon pillars. The gate contact is connected to an upper region of the gate electrode provided at the periphery of the cap insulation film. An opening is formed on the protection insulation film provided at the side of the first silicon pillar.
    Type: Application
    Filed: May 15, 2008
    Publication date: November 20, 2008
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Yoshihiro TAKAISHI
  • Publication number: 20080277712
    Abstract: An embodiment of the present invention is directed to a method of forming a memory cell. The method includes etching a trench in a substrate and filling the trench with an oxide to form a shallow trench isolation (STI) region. A portion of an active region of the substrate that comes in contact with the STI region forms a bitline-STI edge. The method further includes forming a gate structure over the active region of the substrate and over the STI region. The gate structure has a first width substantially over the center of the active region of the substrate and a second width substantially over the bitline-STI edge, and the second width is greater than the first width.
    Type: Application
    Filed: May 10, 2007
    Publication date: November 13, 2008
    Applicant: Spansion LLC
    Inventors: Meng Ding, YouSeok Suh, Shenqing Fang, Kuo-Tung Chang
  • Publication number: 20080272425
    Abstract: A semiconductor storage element includes: a semiconductor layer constituted of a line pattern with a predetermined width formed on a substrate; a quantum dot forming an electric charge storage layer formed on the semiconductor layer through a first insulating film serving as a tunnel insulating film; an impurity diffusion layer formed in a surface layer of the semiconductor layer so as to sandwich the quantum dot therebetween; and a control electrode formed on the quantum dot through a second insulating film.
    Type: Application
    Filed: November 29, 2007
    Publication date: November 6, 2008
    Inventor: Kenji KAWABATA
  • Publication number: 20080272437
    Abstract: A CMOS structure is disclosed in which a first type FET has an extremely thin oxide liner. This thin liner is capable of preventing oxygen from reaching the high-k dielectric gate insulator of the first type FET. A second type FET device of the CMOS structure has a thicker oxide liner. As a result, an oxygen exposure is capable to shift the threshold voltage of the second type of FET, without affecting the threshold value of the first type FET. The disclosure also teaches methods for producing the CMOS structure in which differing type of FET devices have differing thickness liners, and the threshold values of the differing type of FET devices is set independently from one another.
    Type: Application
    Filed: May 1, 2007
    Publication date: November 6, 2008
    Inventors: Bruce B. Doris, Eduard Albert Cartier, Vijay Narayanan, Vamsi Paruchuri
  • Publication number: 20080272438
    Abstract: A CMOS structure is disclosed in which a first type FET contains a liner, which liner has oxide and nitride portions. The nitride portions are forming the edge segments of the liner. These nitride portions are capable of preventing oxygen from reaching the high-k dielectric gate insulator of the first type FET. A second type FET device of the CMOS structure has a liner without nitride portions. As a result, an oxygen exposure is capable to shift the threshold voltage of the second type of FET, without affecting the threshold value of the first type FET. The disclosure also teaches methods for producing the CMOS structure in which differing type of FET devices have their threshold values set independently from one another.
    Type: Application
    Filed: May 2, 2007
    Publication date: November 6, 2008
    Inventors: Bruce B. Doris, Charlotte DeWan Adams, Eduard Albert Cartier, Vijay Narayanan
  • Publication number: 20080265336
    Abstract: A method for manufacturing a semiconductor device. The method comprises forming a dielectric layer. Forming the dielectric layer includes depositing a silicon oxide layer on a semiconductor substrate, nitridating the silicon oxide layer to form a nitrided silicon oxide layer and incorporating lanthanide atoms into the nitrided silicon oxide layer to form a lanthanide silicon oxynitride layer.
    Type: Application
    Filed: April 27, 2007
    Publication date: October 30, 2008
    Applicant: Texas Instruments Incorporated
    Inventors: Manfred Ramin, Michael F. Pas, Husam Alshareef
  • Publication number: 20080265243
    Abstract: Methods of forming ferromagnetic floating gate structures are described. The methods include atomic layer deposition of multiple precursor films, followed by alloying the metals in the precursor films, to form a ferromagnetic floating gate. Devices that include ferromagnetic floating gates formed with these methods are also described.
    Type: Application
    Filed: April 30, 2007
    Publication date: October 30, 2008
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Publication number: 20080265416
    Abstract: An integrated circuit and methods for forming the same are provided. The method includes providing a semiconductor substrate; forming a low-k dielectric layer over the semiconductor substrate; forming an opening extending from a top surface of the low-k dielectric layer into the low-k dielectric layer; forming a diffusion barrier layer in the opening, wherein the diffusion barrier layer has a top edge substantially level with a top surface of the low-k dielectric layer; filling a metal line in the opening; recessing a top surface of the metal line below a top edge of the diffusion barrier layer to form a recess; and forming a metal cap on the metal line, wherein the metal cap is substantially within the recess.
    Type: Application
    Filed: April 27, 2007
    Publication date: October 30, 2008
    Inventors: Shen-Nan Lee, Jin-Yiing Song, Syun-Ming Jang
  • Publication number: 20080268630
    Abstract: Making gates having multiple thicknesses on the same substrate in a given process flow is provided. For example, a method of making a semiconductor structure having at least two gates of different thickness involves forming a first gate layer having a first thickness; patterning a first hard mask over a portion of the first gate layer to define a first gate underneath the first hard mask having a first gate thickness; forming a second gate layer having a second thickness over the first gate layer and the first hard mask; patterning a second hard mask over a portion of the second gate layer to define a second gate underneath the second hard mask having a second gate thickness; removing portions of the first gate layer and the second gate layer that are not under the first hard mask and the second hard mask; and removing the first hard mask and the second hard mask to provide two gates of different thicknesses.
    Type: Application
    Filed: April 30, 2007
    Publication date: October 30, 2008
    Applicant: SPANSION LLC
    Inventors: Imran Khan, Ahmed Shibly, Dong-Hyuk Ju
  • Publication number: 20080258176
    Abstract: An apparatus in one example comprises an antimonide-based compound semiconductor (ABCS) stack, an upper barrier layer formed on the ABCS stack, and a gate stack formed on the upper barrier layer. The upper barrier layer comprises indium, aluminum, and arsenic. The gate stack comprises a base layer of titanium and tungsten formed on the upper barrier layer.
    Type: Application
    Filed: April 18, 2007
    Publication date: October 23, 2008
    Inventors: Yeong-Chang Chou, Jay Crawford, Jane Lee, Jeffrey Ming-Jer Yang, John Bradley Boos, Nicolas Alexandrou Papanicolaou
  • Publication number: 20080261388
    Abstract: The invention includes a method of forming a structure over a semiconductor substrate. A silicon dioxide containing layer is formed across at least some of the substrate. Nitrogen is formed within the silicon dioxide containing layer. Substantially all of the nitrogen within the silicon dioxide is at least 10 ? above the substrate. After the nitrogen is formed within the silicon dioxide layer, conductively doped silicon is formed on the silicon dioxide layer.
    Type: Application
    Filed: June 25, 2008
    Publication date: October 23, 2008
    Inventors: Kevin L. Beaman, John T. Moore
  • Publication number: 20080258281
    Abstract: A semiconductor having a leadframe is disclosed. In one embodiment, a leadframe is disclosed to be fitted with a semiconductor chip and is to be encapsulated with a plastic compound has a metallic single-piece base body, to which an interlayer is applied. The interlayer has a surface including a matrix of islands of remaining material of substantially uniform height, with voids extending between said islands.
    Type: Application
    Filed: October 1, 2004
    Publication date: October 23, 2008
    Inventors: Bernd Betz, Jochen Dangelmaier, Stefan Paulus
  • Publication number: 20080254607
    Abstract: Systems and methods are described that facilitate integrating ArF core patterning of floating gate structures in a flash memory device followed by KrF periphery gate patterning using a hard mask comprising a material such as amorphous carbon to facilitate core gate construction. The amorphous carbon hard mask can facilitate preparing such core gate structures while protecting periphery gate stacks such that the periphery stacks are ready for immediate KrF lithography upon completion of core gate formation without requiring additional resist deposition between core and periphery etches.
    Type: Application
    Filed: April 11, 2007
    Publication date: October 16, 2008
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventors: Marina V. Plat, Scott A. Bell
  • Publication number: 20080251934
    Abstract: Semiconductor device structures and methods of fabricating such semiconductor device structures for use in static random access memory (SRAM) devices. The semiconductor device structure comprises a dielectric region disposed between first and second semiconductor regions and a gate conductor structure extending between the first and second semiconductor regions. The gate conductor structure has a first sidewall overlying the first semiconductor region. The device structure further comprises an electrically connective bridge extending across the first semiconductor region. The electrically connective bridge has a portion that electrically connects a impurity-doped region in the first semiconductor region with the first sidewall of the gate conductor structure.
    Type: Application
    Filed: April 13, 2007
    Publication date: October 16, 2008
    Inventors: Jack Allan Mandelman, Haining Yang
  • Publication number: 20080254605
    Abstract: One inventive aspect is related to a method of minimizing the final thickness of an interfacial oxide layer between a semiconductor material and a high dielectric constant material. The method comprises depositing a covering layer on the high dielectric constant material. The method further comprises removing adsorbed/absorbed water from the high dielectric constant material prior to depositing the covering layer. The removal of adsorbed/absorbed water is preferably done by a degas treatment. The covering layer may be a gate electrode or a spacer dielectric.
    Type: Application
    Filed: April 16, 2007
    Publication date: October 16, 2008
    Applicants: Interuniversitair Microelektronica Centrum (IMEC), Intel Corporation
    Inventors: David Brunco, Lars-Ake Ragnarsson, Stefan De Gendt, Zsolt Tokei
  • Publication number: 20080254608
    Abstract: A method of forming a contact structure includes forming an isolation region defining active regions in a semiconductor substrate. Gate patterns extending to the isolation region while crossing the active regions are formed. A sacrificial layer is formed on the semiconductor substrate having the gate patterns. Sacrificial patterns remaining on the active regions are formed by patterning the sacrificial layer. Molding patterns are formed on the isolation region. Contact holes exposing the active regions at both sides of the gate patterns are formed by etching the sacrificial patterns using the molding patterns and the gate patterns as an etching mask. Contact patterns respectively filling the contact holes are formed. The disclosed method of forming a contact structure may be used in fabricating a semiconductor device.
    Type: Application
    Filed: March 13, 2008
    Publication date: October 16, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hyeoung-Won SEO, Sun-Hoo PARK, Soo-Ho SHIN
  • Publication number: 20080251836
    Abstract: A method for fabricating a non-volatile memory device includes forming a charge tunneling layer composed of a hafnium silicate (HfSixOyNz) layer on a semiconductor substrate. A charge trapping layer composed of a hafnium oxide nitride (HfOxNy) layer is formed on the charge tunneling layer. A charge blocking layer composed of a hafnium oxide layer is formed on the charge trapping layer. A gate layer is formed on the charge blocking layer. A non-volatile memory device fabricated by the method is also disclosed.
    Type: Application
    Filed: June 29, 2007
    Publication date: October 16, 2008
    Applicant: Hynix Semiconductor Inc.
    Inventor: Chang Soo PARK
  • Publication number: 20080248642
    Abstract: A method is provided for removing reentrant stringers in the fabrication of a nanowire transistor (NWT). The method provides a cylindrical nanostructure with an outside surface axis overlying a substrate surface. The nanostructure includes an insulated semiconductor core. A conductive film is conformally deposited overlying the nanostructure, to function as a gate strap or a combination gate and gate strap. A hard mask insulator is deposited overlying the conductive film and selected regions of the hard mask are anisotropically plasma etched. As a result, a conductive film gate electrode is formed substantially surrounding a cylindrical section of nanostructure. Inadvertently, conductive film reentrant stringers may be formed adjacent the nanostructure outside surface axis, made from the conductive film. The method etches, and so removes the conductive film reentrant stringers.
    Type: Application
    Filed: April 4, 2007
    Publication date: October 9, 2008
    Inventors: Mark A. Crowder, Yutaka Takafuji
  • Publication number: 20080248641
    Abstract: A method of manufacturing a semiconductor device according to this invention includes; forming a first region in which a first insulating film is formed on a semiconductor substrate surface and a second region on which the semiconductor substrate surface is exposed; cleaning the semiconductor substrate surface exposed in the second region with a cleaning fluid; removing a chemical oxide film formed on the semiconductor substrate surface in the second region with the cleaning fluid; forming a second insulating film having a film thickness different from that of the first insulating film on the semiconductor substrate surface in the second region; and forming a gate electrode film on the first insulating film and the second insulating film to form a pattern in the gate electrode film (and the first insulating film and the second insulating film formed under the gate electrode film).
    Type: Application
    Filed: September 27, 2007
    Publication date: October 9, 2008
    Applicant: NEC ELECTRONICS CORPORATION
    Inventor: Mariko Makabe