Forming Bipolar Transistor By Formation Or Alteration Of Semiconductive Active Regions Patents (Class 438/309)
  • Patent number: 7253480
    Abstract: A structure of an electrostatic discharge protection circuit, in which a buried layer is formed in the substrate of the electrostatic discharge protection circuit, and a sinker layer electrically connected to the buried layer and a drain is also formed therein. Thereby, when the electrostatic discharge protection circuit is activated, the current flows from a source through the buried layer and the sinker layer to the drain. The current flow path is remote from the gate dielectric layer to avoid damaging the gate dielectric by a large current, so as to improve the dielectric strength of the electrostatic discharge protection circuit.
    Type: Grant
    Filed: September 28, 2004
    Date of Patent: August 7, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Shiao-Shien Chen, Tsun-Lai Hsu, Tien-Hao Tang, Hua-Chou Tseng
  • Patent number: 7247924
    Abstract: A method of modulating grain size in a polysilicon layer and devices fabricated with the method. The method comprises forming the layer of polysilicon on a substrate; and performing an ion implantation of a polysilicon grain size modulating species into the polysilicon layer such that an average resultant grain size of the implanted polysilicon layer after performing a pre-determined anneal is higher or lower than an average resultant grain size than would be obtained after performing the same pre-determined anneal on the polysilicon layer without a polysilicon grain size modulating species ion implant.
    Type: Grant
    Filed: October 28, 2003
    Date of Patent: July 24, 2007
    Assignee: International Business Machines Corporation
    Inventors: Peter J. Geiss, Joseph R. Greco, Richard S. Kontra, Emily Lanning
  • Publication number: 20070155111
    Abstract: A method of fabricating a semiconductor device including forming a pre metal dielectric liner over a semiconductor substrate on which a transistor is formed. The pre metal dielectric liner is sputter etched to form an unstable interface at the surface. The boron is trapped in an interface in an unstable state in a surface of the PMD liner to effectively suppress the boron penetration phenomenon to the semiconductor substrate.
    Type: Application
    Filed: December 22, 2006
    Publication date: July 5, 2007
    Inventor: Sung Kyung Jung
  • Patent number: 7232732
    Abstract: Formation of elements of a vertical bipolar transistor is described, in particular a vertical npn transistor formed on a p-type substrate. Accordingly, an improved method not limited by constraints of photolithography, and an ensuing device made by such methods, is described. A temporary spacer (e.g., an oxide spacer) is deposited over a dielectric separation layer. The temporary spacer and dielectric separation layers are then anisotropically etched, forming a dielectric “boot shape” on a lower edge of the dielectric separation layer. An area within this non-photolithographically produced boot region defines an emitter contact window. Since the boot tip is formed through deposition and etching techniques, the emitter window is automatically aligned (i.e., self-aligned) with an underlying base region. Feature sizes are determined by deposition and etching techniques. Consequently, photolithography of small features is eliminated.
    Type: Grant
    Filed: October 6, 2003
    Date of Patent: June 19, 2007
    Assignee: Atmel Corporation
    Inventor: Bohumil Lojek
  • Patent number: 7229874
    Abstract: A method and apparatus for depositing self-aligned base contacts where over-etching the emitter sidewall to undercut the emitter contact is not needed. A semiconductor structure has a T-shaped emitter contact that comprises a T-top and T-foot. The T-top acts as a mask for depositing the base contacts. In forming the T-top, its dimensions may be varied, thereby allowing the spacing between the base contacts and emitter to be adjusted.
    Type: Grant
    Filed: February 12, 2004
    Date of Patent: June 12, 2007
    Assignee: HRL Laboratories, LLC
    Inventors: Tahir Hussain, Rajesh D. Rajavel, Mary C. Montes
  • Patent number: 7226844
    Abstract: A method forms a bipolar transistor in a semiconductor substrate of a first conductivity type. The method includes: forming on the substrate a single-crystal silicon-germanium layer; forming a heavily-doped single-crystal silicon layer of a second conductivity type; forming a silicon oxide layer; opening a window in the silicon oxide and silicon layers; forming on the walls of the window a silicon nitride spacer; removing the silicon-germanium layer from the bottom of the window; forming in the cavity resulting from the previous removal a heavily-doped single-crystal semiconductor layer of the second conductivity type; and forming in said window the emitter of the transistor.
    Type: Grant
    Filed: March 28, 2005
    Date of Patent: June 5, 2007
    Assignee: STMicroelectronics SA
    Inventors: Alain Chantre, Pascal Chevalier
  • Patent number: 7214616
    Abstract: A homojunction bipolar transistor with performance characteristics similar to more costly heterojunction or retrograde base transistors. The high emitter resistivity found in prior homojunction devices is circumvented using a low work function material layer in forming the emitter. This produces an economically viable high performance alternative to SiGe HBTs or SiGe retrograde base transistors.
    Type: Grant
    Filed: February 28, 2001
    Date of Patent: May 8, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Patent number: 7211482
    Abstract: A memory cell of a semiconductor device and a method for forming the same, wherein the memory cell includes a substrate having active regions and field regions, a gate layer formed over the substrate, the gate layer including a plurality of access gates formed over the active regions of the substrate and a plurality of pass gates formed over the field regions of the substrate, first self-aligned contact regions formed between adjacent pass gates and access gates, and second self-aligned contact regions formed between adjacent access gates, wherein a width of each of the first self-aligned contact regions is larger than a width of each of the second self-aligned contact regions.
    Type: Grant
    Filed: June 1, 2005
    Date of Patent: May 1, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-Young Kim, Jin-Jun Park
  • Patent number: 7195984
    Abstract: An interfacial oxide layer (185) is formed in the emitter regions of the NPN transistor (280, 220) and the PNP transistor (290, 200). Fluorine is selectively introduced into the polysilicon emitter region of the NPN transistor (220) to reduce the 1/f noise in the NPN transistor.
    Type: Grant
    Filed: November 22, 2004
    Date of Patent: March 27, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Joe R. Trogolo, William Loftin, William F. Kyser, Jr.
  • Patent number: 7195965
    Abstract: The concept of the present invention describes a semiconductor device with a junction 504 between a lightly doped region 501 and a heavily doped region 502, wherein the junction has an elongated portion 504a and curved portions 504b. The doping concentration of the lightly doped region is configured so that it exhibits higher resistivity in the proximity 510 of the curved portion by an amount suitable to lower the electric field strength during device operation and thus to offset the increased field strength caused by the curved portion. As a consequence, the device breakdown voltage in the curved junction portion becomes equal to or greater than the breakdown voltage in the linear portion.
    Type: Grant
    Filed: November 22, 2002
    Date of Patent: March 27, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: John Lin, Philip L. Hower, Taylor R. Efland, Sameer Pendharkar, Vladimir Bolkhovsky
  • Patent number: 7192788
    Abstract: The present invention intends to provide a technique that can improve the capacitance density while securing the withstand voltage of a capacitor element. In order to achieve the above object, the present inventive manufacturing method of a semiconductor device includes forming a metal film on a silicon oxide film, forming a SiN film on the metal film, forming a metal film on the SiN film, etching the upper most metal film with a photoresist film as a mask to form an upper electrode, thereafter forming a silicon oxide film that covers the upper electrode, patterning by etching the silicon oxide film and the SiN film with a photoresist film as a mask to form a capacitor insulating film and sputter-etching the lowermost metal film with the patterned silicon oxide film as a mask to form a lower electrode.
    Type: Grant
    Filed: December 9, 2004
    Date of Patent: March 20, 2007
    Assignee: Renesas Technology Corp.
    Inventor: Atsushi Kurokawa
  • Patent number: 7186623
    Abstract: An integrated semiconductor device containing semiconductor elements that have respective desired on-resistances and breakdown voltages achieves appropriate characteristics as a whole of the integrated semiconductor element. The integrated semiconductor device includes a plurality of semiconductor elements formed in a semiconductor layer and each having a source of an n type semiconductor, a drain of the n type semiconductor and a back gate of a p type semiconductor between the source and the drain. At least a predetermined part of the drain of one semiconductor element and a predetermined part of the drain of another semiconductor element have respective impurity concentrations different from each other.
    Type: Grant
    Filed: July 24, 2003
    Date of Patent: March 6, 2007
    Assignee: Renesas Technology Corp.
    Inventors: Tetsuya Nitta, Tomohide Terashima
  • Patent number: 7180159
    Abstract: A bipolar transistor in a monocrystalline semiconductor substrate (101), which has a first conductivity type and includes a surface layer (102) of the opposite conductivity type. The transistor comprises an emitter contact (110) on the surface layer; a base contact (130 and 131) extending through a substantial portion (141) of the surface layer, spaced apart (140a) from the emitter; an insulator region (150/151) buried under the base contact; a collector contact (120); and a first polycrystalline semiconductor region (152/153) selectively located under the insulator region, and a second polycrystalline semiconductor region (154) selectively located under the collector contact. These polycrystalline regions exhibit heavy dopant concentrations of the first conductivity type; consequently, they lower the collector resistance.
    Type: Grant
    Filed: July 13, 2004
    Date of Patent: February 20, 2007
    Assignee: Texas Instruments Incorporated
    Inventor: Gregory E. Howard
  • Patent number: 7176097
    Abstract: A semiconductor device is provided with a FET having a sufficiently small short channel effect and sufficiently small junction capacitance and junction leakage current. The FET includes a channel region formed in a silicon substrate, a gate electrode formed on the channel region through the intermediary of a gate insulting film, heavily doped regions, and pocket regions. The pocket regions are formed to extend from inside the heavily doped regions, respectively, over inside the channel region. Because a pocket sub-region inside the respective heavily doped regions is formed to be located in regions shallower than the respective lower end faces of the heavily doped regions, junction capacitance and junction leakage current are reduced. Further, because respective pocket sub-regions inside the channel region are formed in regions deeper than the respective pocket sub-regions inside the heavily doped regions, a short channel effect can be reduced.
    Type: Grant
    Filed: January 21, 2004
    Date of Patent: February 13, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Marie Hiraizumi
  • Patent number: 7157320
    Abstract: A semiconductor device comprising: a first insulating film formed on a semiconductor substrate; a semiconductor layer at least a part of which is formed on the first insulating film; a second insulating film comprising a non-doped silicon oxide film and formed on the semiconductor layer; a third insulating film comprising a silicon oxide film containing at least phosphorus formed on the second insulating film; and a fourth insulating film comprising a non-doped silicon oxide film formed on the third insulating film.
    Type: Grant
    Filed: April 1, 2004
    Date of Patent: January 2, 2007
    Assignee: Sony Corporation
    Inventor: Yuji Sasaki
  • Patent number: 7151035
    Abstract: A sidewall-insulation film 9 is provided on a side surface of a first opening portion 8a formed in a base extraction electrode 5B of a hetero-junction bipolar transistor, and a portion of the sidewall-insulation film 9 extends so as to protrude from a surface opposite to a semiconductor substrate 1 toward a main surface of the semiconductor substrate 1 in the base extraction electrode 5B, and protruded length thereof is set to be equal to or smaller than one half of thickness of the insulation film 4 interposed between the main surface of the semiconductor substrate 1 and a lower surface of the base extraction electrode 5B.
    Type: Grant
    Filed: April 16, 2002
    Date of Patent: December 19, 2006
    Assignee: Renesas Technology Corp.
    Inventors: Makoto Koshimizu, Yasuaki Kagotoshi, Nobuo Machida
  • Patent number: 7144789
    Abstract: In a method of fabricating complementary bipolar transistors with SiGe base regions the base regions of the NPN and PNP transistors are formed one after the other over two collector regions 20, 14 by epitaxial deposition of crystalline silicon-germanium layers 32a, 36a. With this method the germanium profile of the SiGe layers can be freely selected for both NPN and PNP transistors in thus enabling complementary transistor performance to be optimized individually. The SiGe layers 32a, 36a can be doped with an n-type or p-type dopant during or after deposition of the silicon-germanium layers 32a, 36a.
    Type: Grant
    Filed: April 8, 2004
    Date of Patent: December 5, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Badih El-Kareh, Scott Balster, Philipp Steinmann, Thomas Scharnagl, Manfred Schiekofer, Carl Willis
  • Patent number: 7141478
    Abstract: The present invention is generally directed to a multi-stage epi process for forming semiconductor devices, and the resulting device. In one illustrative embodiment, the method comprises forming a first layer of epitaxial silicon above a surface of a semiconducting substrate, forming a second layer of epitaxial silicon above the first layer of epitaxial silicon, forming a third layer of epitaxial silicon above the second layer of epitaxial silicon, forming a trench isolation region that extends through at least the third layer of epitaxial silicon and forming a portion of a semiconductor device above the third layer of epitaxial silicon within an area defined by the isolation region.
    Type: Grant
    Filed: January 26, 2004
    Date of Patent: November 28, 2006
    Assignee: Legerity Inc.
    Inventor: Chris Speyer
  • Patent number: 7135375
    Abstract: Varactors are provided which have a high tunability and/or a high quality factor associated therewith as well as methods for fabricating the same. One type of varactor disclosed is a quasi hyper-abrupt base-collector junction varactor which includes a substrate having a collector region of a first conductivity type atop a subcollector region, the collector region having a plurality of isolation regions present therein; reach-through implant regions located between at least a pair of the isolation regions; a SiGe layer atop a portion of the substrate not containing a reach-through implant region, the SiGe layer having an extrinsic base region of a second conductivity type which is different from the first conductivity type; and an antimony implant region located between the extrinsic base region and the subcollector region. Another type of varactor disclosed is an MOS varactor which includes at least a poly gate region and a well region wherein the poly gate region and the well region have opposite polarities.
    Type: Grant
    Filed: February 8, 2005
    Date of Patent: November 14, 2006
    Assignee: International Business Machines Corporation
    Inventors: Douglas D. Coolbaugh, James S. Dunn, Michael D. Gordon, Mohamed Y. Hammad, Jeffrey B. Johnson, David C. Sheridan
  • Patent number: 7129144
    Abstract: An overvoltage protection device has a voltage-limiting region parallel to its central junction to produce a transverse junction breakdown. The spacing between the voltage-limiting region and the central junction defines the breakdown voltage. Via varying the size and location of the voltage-limiting region, the protection device can has various-breakdown voltages and lower breakover currents. Thereby, the sensitivity of the protection device can be improved.
    Type: Grant
    Filed: April 30, 2004
    Date of Patent: October 31, 2006
    Assignee: Lite-On Semiconductor Corp.
    Inventor: Ching Chiu Tseng
  • Patent number: 7119382
    Abstract: The present invention realizes a heterobipolar transistor using a SiGeC base layer in order to improve its electric characteristics. Specifically, the distribution of carbon and boron within the base layer is controlled so that the concentration of boron is higher than the concentration of carbon on the side bordering on the emitter layer, and upon the formation of the emitter layer, both boron and carbon are dispersed into a portion of the emitter layer that comes into contact with the base layer.
    Type: Grant
    Filed: April 15, 2003
    Date of Patent: October 10, 2006
    Assignee: Fujitsu Limited
    Inventors: Hidekazu Sato, Takae Sukegawa, Kousuke Suzuki
  • Patent number: 7115465
    Abstract: A method for manufacturing a bipolar transistor, comprising the steps of: growing on the substrate a first semiconductor; depositing an encapsulation layer etchable with respect to the first semiconductor, forming a sacrificial block at the location of the base-emitter junction; exposing the first semiconductor around spacers formed around said block; forming a second semiconductor, then a third semiconductor etchable with respect to the second semiconductor layer, the encapsulation layer, and the spacers, the sum of the thicknesses of the second semiconductor and the sacrificial layer being substantially equal to the sum of the thicknesses of the encapsulation layer and of the sacrificial block; removing the block and the encapsulation layer; depositing a fourth semiconductor; removing the third semiconductor; and etching an insulating layer to maintain it on the emitter walls and between said emitter and the second semiconductor.
    Type: Grant
    Filed: May 3, 2004
    Date of Patent: October 3, 2006
    Assignee: STMicroelectronics, S.A.
    Inventors: Michel Marty, Bertrand Martinet, Cyril Fellous
  • Patent number: 7105415
    Abstract: The invention relates to a method for producing a bipolar transistor. A semiconductor substrate is provided that encompasses a collector area of a first conductivity type, which is embedded therein and is bare towards the top. A monocrystalline base area is provided and a base-connecting area of the second conductivity type is provided above the base area. An insulating area is provided above the base-connecting area and a window is formed in the insulating area and the base-connecting area so as to at least partly expose the base area. An insulating sidewall spacer is provided in the window in order to insulate the base-connecting area. An emitter layer which forms a monocrystalline emitter area above the base area and a polycrystalline emitter area above the insulating area and the sidewall spacer is differentially deposited and structured, and a tempering step is carried out.
    Type: Grant
    Filed: June 15, 2005
    Date of Patent: September 12, 2006
    Assignee: Infineon Technologies AG
    Inventors: Josef Bock, Thomas Meister, Reinhard Stengl, Herbert Schafer
  • Patent number: 7098112
    Abstract: A field emission array which does not contain any organic material is manufactured by separately preparing nanostructures whose one ends were coated and then adhering the coated ends of the nanostructures to a metal electrode layer formed on a substrate.
    Type: Grant
    Filed: June 9, 2004
    Date of Patent: August 29, 2006
    Assignee: Samsung Corning Co., Ltd.
    Inventors: Kyeong-Taek Jung, Myung-Soo Kim, Kwan-Goo Jeon, Seog-Hyun Cho
  • Patent number: 7098113
    Abstract: A power lateral PNP device is disclosed which includes an epitaxial layer; a first and second collector region embedded in the epitaxial layer; an emitter region between the first and second collector regions. Therefore slots are placed in each of the regions. Accordingly, in a first approach the standard process flow will be followed until reaching the point where contact openings and metal are to be processed. In this approach slots are etched that are preferably 5 to 6 um deep and 5 to 6 um wide. These slots are then oxidized and will be subsequently metalized. When used for making metal contacts to the buried layer or for ground the oxide is removed from the bottom of the slots by an anisotropic etch. Subsequently when these slots receive metal they will provide contacts to the buried layer where this is desired and to the substrate when a ground is desired. In a second approach the above-identified process is completed up through the slot process without processing the lateral PNPs.
    Type: Grant
    Filed: March 13, 2003
    Date of Patent: August 29, 2006
    Assignee: Micrel, Inc.
    Inventors: John Durbin Husher, Ronald L. Schlupp
  • Patent number: 7091099
    Abstract: A bipolar transistor includes a Si single crystalline layer serving as a collector, a single crystalline Si/SiGeC layer and a polycrystalline Si/SiGeC layer which are formed on the Si single crystalline layer, an oxide film having an emitter opening portion, an emitter electrode, and an emitter layer. An intrinsic base layer is formed on the single crystalline Si/SiGeC layer, part of the single crystalline Si/SiGeC layer, the polycrystalline Si/SiGeC layer and the Co silicide layer together form an external base layer. The thickness of the emitter electrode is set so that boron ions implanted into the emitter electrode and diffused therein do not reach an emitter-base junction portion.
    Type: Grant
    Filed: March 24, 2004
    Date of Patent: August 15, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Teruhito Ohnishi, Koichiro Yuki, Tsuneichiro Sano, Tohru Saitoh, Ken Idota, Takahiro Kawashima, Shigeki Sawada
  • Patent number: 7088168
    Abstract: This invention is about the direct conversion receiver. It is excellent the receiving sensitivity that DC off-set, matching characteristics of the relationship of I/Q circuits and noise characteristics are improved. In order to achieve this purpose, the direct conversion receiver uses vertical bipolar junction transistor available in standard triple-well CMOS technology in the switching element of mixer and base-band analog circuits. Furthermore, as using the passive mixer in the other practical example of this invention, this invention controls the occurrence of l/f noise. As using the vertical bipolar junction transistor available in standard triple-well CMOS in the base-band analog circuits, this invention realizes the direct conversion receiver that DC off-set, matching characteristics of the relationship of I/Q circuit and noise characteristics are improved.
    Type: Grant
    Filed: July 11, 2003
    Date of Patent: August 8, 2006
    Assignee: Korea Advanced Institute of Science and Technology
    Inventors: Kwyro Lee, Ilku Nam
  • Patent number: 7081394
    Abstract: The present invention relates to a device for electrostatic discharge protection (ESD).
    Type: Grant
    Filed: March 16, 2005
    Date of Patent: July 25, 2006
    Assignee: Magnachip Semiconductor, Ltd.
    Inventors: Kil Ho Kim, Yong Icc Jung
  • Patent number: 7078325
    Abstract: A process is described which allows a buried, retrograde doping profile or a delta doping to be produced in a relatively simple and inexpensive way. The process uses individual process steps that are already used in the mass production of integrated circuits and accordingly can be configured for a high throughput.
    Type: Grant
    Filed: July 12, 2001
    Date of Patent: July 18, 2006
    Assignee: Infineon Technologies AG
    Inventors: Giuseppe Curello, Jürgen Faul
  • Patent number: 7061051
    Abstract: A novel device structure and process are described for an SCR ESD protection device used with shallow trench isolation structures. The invention incorporates an SCR device with all SCR elements essentially contained within the same active area without STI elements being interposed between the device anode and cathode elements. This enhances ESD performance by eliminating thermal degradation effects caused by interposing STI structures, and enhances the parasitic bipolar characteristics essential to ESD event turn on. Enabling this unique design is the use of an insulation oxide surface feature which prevents the formation of contact salicides in unwanted areas. This design is especially suited to silicon-on-insulator design, as well as conventional SCR and LVTSCR designs.
    Type: Grant
    Filed: December 2, 2003
    Date of Patent: June 13, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Ta-Lee Yu
  • Patent number: 7060583
    Abstract: In the inventive method for manufacturing a bipolar transistor having a polysilicon emitter, a collector region of a first conductivity type and, adjoining thereto, a basis region of a second conductivity type will be generated at first. At least one layer of an insulating material will now be applied, wherein the at least one layer is patterned such that at least one section of the basis region is exposed. Next, a layer of a polycrystalline semiconductor material of the first conductivity type, which is heavily doped with doping atoms, will be generated such that the exposed section is essentially covered. Now, a second layer of a highly conductive material on the layer of the polycrystalline semiconductor material will be generated in order to form an emitter double layer with the same.
    Type: Grant
    Filed: January 13, 2004
    Date of Patent: June 13, 2006
    Assignee: Infineon Technologies AG
    Inventors: Jakob Kriz, Martin Seck, Armin Tilke
  • Patent number: 7060582
    Abstract: The present invention relates to a semiconductor layer applicable to a hetero-junction bipolar transistor, a forming method thereof, and a semiconductor device and a manufacturing method thereof, for example. The semiconductor layer and the forming method thereof according to the present invention includes a first SiGe film or SiGeC film containing Ge of which the concentration become equal to a thermal expansion coefficient of silicon oxide and a second SiGe film or SiGeC film formed on the first film. In a semiconductor device according to the present invention and a manufacturing method thereof, first and second layers are laminated on an oxide film having an opening, and the first layer has the substantially same thermal expansion coefficient as that of the oxide film and has a thermal expansion coefficient different from that of the second layer.
    Type: Grant
    Filed: June 4, 2002
    Date of Patent: June 13, 2006
    Assignee: Sony Corporation
    Inventors: Takeyoshi Koumoto, Hideo Yamagata
  • Patent number: 7049240
    Abstract: A method for forming a SiGe HBT, which combines a SEG and Non-SEG growth, is disclosed. The SiGe base layer is deposited by a Non-SEG method. Then, the first-emitter layer is developed directly upon the SiGe base layer that has a good interface quality between the base-emitter. Next, a second poly silicon layer, which has a dopant concentration range within 1E19 to 1E21 (atom/cc), is deposited by SEG method. It not only reduces the resistance of the SiGe base layer, but also avoids the annealing that may influence the performance of the device.
    Type: Grant
    Filed: November 10, 2003
    Date of Patent: May 23, 2006
    Assignee: United Microelectronics Corp.
    Inventors: Cheng-Wen Fan, Hua-Chou Tseng, Chia-Hong Chin, Chun-Yi Lin, Cheng-Choug Hung
  • Patent number: 7041563
    Abstract: The present invention includes a semiconductor device having a shallow trench isolation and a method of fabricating the same. The semiconductor device includes a gate electrode being arranged to cross over the active region. An oxide pattern is interposed between the active region and the edge of the gate electrode. The oxide pattern defines a channel region under the gate electrode. A lightly doped diffusion layer is formed in the active region downward and outward from the oxide pattern, and a heavy doped diffusion layer is formed in a predetermined region of the active region and surrounded by the lightly doped diffusion layer. In the method of fabricating the semiconductor substrate, a trench isolation layer is formed at a predetermined region of a semiconductor substrate to define an active region. A pair of preliminary lightly doped diffusion layers are formed in a line to cross over the active region. Then, oxide patterns are formed to cover at least the preliminary lightly doped diffusion layers.
    Type: Grant
    Filed: March 5, 2004
    Date of Patent: May 9, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Myoung-Soo Kim
  • Patent number: 7033867
    Abstract: The antifuse device comprises an insulating layer positioned in the trench, a conductive member positioned above the insulating layer, at least a portion of the conductive member being positioned within the trench, the conductive member adapted to have at least one programming voltage applied thereto, and at least one doped active region formed in the substrate adjacent the trench. The antifuse further comprises at least one conductive contact coupled to the conductive member, and at least one conductive contact coupled to the doped active region.
    Type: Grant
    Filed: March 4, 2004
    Date of Patent: April 25, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Stephen R. Porter
  • Patent number: 7019383
    Abstract: According to one exemplary embodiment, a gallium arsenide heterojunction bipolar transistor comprises a collector layer and a first spacer layer situated over the collector layer, where the first spacer layer is a high-doped P+ layer. For example, the first spacer layer may comprise GaAs doped with carbon. The gallium arsenide heterojunction bipolar transistor further comprises a base layer situated over the first spacer layer. The base layer may comprise, for example, a concentration of indium, where the concentration of indium is linearly graded in the base layer. The base layer may comprise InGaAsN, for example. The gallium arsenide heterojunction bipolar transistor further comprises an emitter layer situated over the base layer. The emitter layer may comprise, for example, InGaP.
    Type: Grant
    Filed: February 26, 2003
    Date of Patent: March 28, 2006
    Assignee: Skyworks Solutions, Inc.
    Inventors: Peter J. Zampardi, Kevin Choi, Lance G. Rushing
  • Patent number: 7008851
    Abstract: A method in the fabrication of a silicon-germanium mesa transistor in a semiconductor process flow comprises the steps of providing a p-type doped silicon bulk substrate (10) having an n+-type doped surface region (31) being a subcollector; depositing epitaxially thereon a silicon layer (41) comprising n-type dopant; depositing epitaxially thereon a silicon layer (174) comprising germanium and p-type dopant; forming in the epitaxial layers (41, 174) field isolation areas (81) around, in a horizontal plane, a portion of the epitaxial layers (41, 174) to simultaneously define an n-type doped collector region (41) on the subcollector (31); a p-type doped base region (174) thereon; and an n-type doped collector plug on the subcollector (31), but separated from the n-type doped collector region (41) and the p-type doped base region (174); and forming in the p-type doped base region (174) an n-type doped emitter region.
    Type: Grant
    Filed: April 21, 2004
    Date of Patent: March 7, 2006
    Assignee: Infineon Technologies AG
    Inventors: Ted Johansson, Hans Norström
  • Patent number: 7008852
    Abstract: A process for forming at least one interface region between two regions of semiconductor material. At least one region of dielectric material comprising nitrogen is formed in the vicinity of at least a portion of a boundary between the two regions of semiconductor material, thereby controlling electrical resistance at the interface.
    Type: Grant
    Filed: December 2, 2004
    Date of Patent: March 7, 2006
    Assignee: International Business Machines Corporation
    Inventors: Arne W. Ballantine, Douglas D. Coolbaugh, Jeffrey Gilbert, Joseph R. Greco, Glenn R. Miller
  • Patent number: 7001806
    Abstract: A semiconductor structure comprises a buried first semiconductor layer of a first doping type, a second semiconductor layer of the first doping type on the buried semiconductor layer, which is less doped than the buried first semiconductor layer, a semiconductor area of a second doping type on the second semiconductor layer, so that a pn junction is formed between the semiconductor area and the second semiconductor layer, and a recess present below the semiconductor area in the buried first semiconductor layer, which comprises a semiconductor material of the first doping type, which can be less doped than the buried first semiconductor layer and has a larger distance to the semiconductor area of the second doping type on the second semiconductor layer, such that the breakdown voltage across the pn junction is higher than if the recess were not provided.
    Type: Grant
    Filed: February 17, 2004
    Date of Patent: February 21, 2006
    Assignee: Infineon Technologies AG
    Inventors: Armin Tilke, Wolfgang Klein
  • Patent number: 6998695
    Abstract: A method of manufacturing a semiconductor device has the steps of: forming a mushroom gate traversing an active region of a semiconductor substrate and having a fine gate and an expanded over gate formed thereon; coating a first organic material film on the semiconductor substrate; patterning the first organic material film and leaving the first organic material film only near the mushroom gate; coating a second organic (insulating) material film covering the left first organic material film; forming an opening through the second organic material film to expose the first organic material film; and dissolving and removing the first organic material film via the opening to form a hollow space in the second organic material film.
    Type: Grant
    Filed: August 28, 2003
    Date of Patent: February 14, 2006
    Assignees: Fujitsu Limited, Eudyna Devices Inc.
    Inventors: Kozo Makiyama, Tsuyoshi Takahashi, Masahiro Nishi
  • Patent number: 6984554
    Abstract: A transistor includes a base, a collector, and an emitter comprising a group III/VI semiconductor. Microcircuits having at least one metal oxide semiconductor (MOS) transistor and the previously described transistor are provided. Processes for manufacturing a transistor and a BiMOS microcircuit are also provided.
    Type: Grant
    Filed: September 18, 2003
    Date of Patent: January 10, 2006
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Hung Liao, Bao-Sung Bruce Yeh
  • Patent number: 6984871
    Abstract: A semiconductor device with high structural reliability and low parasitic capacitance is provided. In one example, the semiconductor device has a surface. The semiconductor device comprises a semiconductor region, wherein an emitter region, a base region, and a collector region are laminated from a side near a substrate of the semiconductor region; an insulating protection layer disposed on the surface; and a wiring layer disposed on the surface, the insulating protection layer forming a via hole from the side of the substrate of the semiconductor region, the via hole being formed to allow the wiring layer to make a contact to an electrode of the emitter region from a side of the substrate where the emitter region, the base region, and the collector region are laminated and where the semiconductor region is isolated.
    Type: Grant
    Filed: May 20, 2003
    Date of Patent: January 10, 2006
    Assignee: Renesas Technology Corporation
    Inventors: Tomonori Tanoue, Kazuhiro Mochizuki, Hiroji Yamada
  • Patent number: 6979625
    Abstract: High reliable copper interconnects are formed with copper or a low resistivity copper alloy filling relatively narrow openings and partially filling relatively wider openings and a copper alloy having improved electromigration resistance selectively deposited in the relatively wider openings. The filled openings are recessed and a metal capping layer deposited followed by CMP. The metal capping layer prevents diffusion along the copper-capping layer interface while the copper alloy filling the relatively wider openings impedes electromigration along the grain boundaries.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: December 27, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Christy Mei-Chu Woo, Connie Pin-Chin Wang, Darrell M. Erb
  • Patent number: 6979624
    Abstract: An N type buried layer is formed, in one embodiment, by a non selective implant on the surface of a wafer and later diffusion. Subsequently, the wafer is masked and a selective P type buried layer is formed by implant and diffusion. The coefficient of diffusion of the P type buried layer dopant is greater than the N type buried layer dopant so that connections can be made to the P type buried layer by P wells which have a lower dopant concentration than the N buried layer.
    Type: Grant
    Filed: October 15, 2003
    Date of Patent: December 27, 2005
    Assignee: Intersil Americas Inc.
    Inventor: James D. Beasom
  • Patent number: 6974969
    Abstract: A high performance bipolar transistor device is realized from a series of layers formed on a substrate, the series of layers including a first set of one or more layers each comprising n-type dopant material, a second set of layers forming a p-type modulation doped quantum well structure, and a third set of one or more layers each comprising n-type dopant material. The first set of layers includes an n-type ohmic contact layer. A collector terminal metal layer is deposited and patterned on one layer of the third set. P-type ion implant regions and a patterned base terminal metal layer (which contact the p-type modulation doped quantum well structure) are formed in an interdigitated manner with respect to a patterned emitter metal layer formed on the n-type ohmic contact layer. Preferably, a capping layer that covers the sidewalls of the active device structure (as well as covering the collector metal layer) is used to form the interdigitated base and emitter metal layers of the device.
    Type: Grant
    Filed: November 3, 2003
    Date of Patent: December 13, 2005
    Assignee: The University of Connecticut
    Inventor: Geoff W. Taylor
  • Patent number: 6972237
    Abstract: A method for manufacturing a heterojunction bipolar transistor is provided. An intrinsic collector structure is formed on a substrate. An extrinsic base structure partially overlaps the intrinsic collector structure. An intrinsic base structure is formed adjacent the intrinsic collector structure and under the extrinsic base structure. An emitter structure is formed adjacent the intrinsic base structure. An extrinsic collector structure is formed adjacent the intrinsic collector structure. A plurality of contacts is formed through an interlevel dielectric layer to the extrinsic collector structure, the extrinsic base structure, and the emitter structure.
    Type: Grant
    Filed: December 1, 2003
    Date of Patent: December 6, 2005
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Purakh Raj Verma, Shao-fu Sanford Chu, Lap Chan, Jia Zhen Zheng, Jian Xun Li
  • Patent number: 6972442
    Abstract: One embodiment is a method for fabricating the base of a bipolar transistor where the method comprises placing a first wafer in an undoped epi chamber. Next a first undoped base layer is grown over the first wafer. After growing the first undoped base layer, the first wafer is transferred from the undoped epi chamber into a separate doped epi chamber. A first doped base layer is then grown over the first undoped based layer in the doped epi chamber. While the first wafer is being processed in the doped epi chamber, a second wafer can be processed in the undoped epi chamber. Another embodiment is a structure produced by the disclosed method and yet another embodiment comprises a transfer chamber, a transfer arm, a bake chamber, and a separate undoped epi chamber and a doped epi chamber for practicing the disclosed method.
    Type: Grant
    Filed: December 7, 2002
    Date of Patent: December 6, 2005
    Assignee: Newport Fab, LLC
    Inventor: Klaus F. Schuegraf
  • Patent number: 6972443
    Abstract: A bipolar transistor is provided which includes a collector region, an intrinsic base layer including a single-crystal semiconductor overlying the collector region, and an emitter disposed within a first opening overlying the intrinsic base layer. The bipolar transistor includes a raised extrinsic base, which in turn includes a raised extrinsic base layer and a link-up region which electrically connects the raised extrinsic base layer to the intrinsic base layer. The link-up region also self-aligns the raised extrinsic base to the emitter. The link-up region is disposed in a second opening separate from the first opening and in an undercut region extending from the second opening below the raised extrinsic base layer.
    Type: Grant
    Filed: April 22, 2004
    Date of Patent: December 6, 2005
    Assignee: International Business Machines Corporation
    Inventor: Marwan H. Khater
  • Patent number: 6960797
    Abstract: The object of the present invention is to provide a semiconductor device, which is suitable for use to connect electric condenser microphones. A semiconductor device, comprises: a conductivity-type substrate; an epitaxial layer formed on top of the substrate; island regions separating the epitaxial layer; an input transistor formed on one of the island regions; an insulation layer covering the surface of the input transistor layer; an expansion electrode formed above the insulation layer so as to provide an electrical connection to an input terminal of the input transistor; and resistivity of the epitaxial layer formed below the expansion electrode being in a range of 1000˜5,000 ?·cm.
    Type: Grant
    Filed: December 17, 2002
    Date of Patent: November 1, 2005
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Shigeaki Okawa, Toshiyuki Ohkoda
  • Patent number: 6949438
    Abstract: A substrate with a plurality of isolation structures for defining at least an active area thereon is provided. Ions of a first conductive type are implanted into the substrate to form a doping region in the active area. Following that, a protective layer is formed on the substrate, the protective layer having an opening to expose the doping region. A first doping layer of a second conductive type and a second doping layer of the first conductive type are formed on the doping region, respectively, to complete fabrication of a bipolar junction transistor.
    Type: Grant
    Filed: November 18, 2004
    Date of Patent: September 27, 2005
    Assignee: United Microelectronics Corp.
    Inventor: Jing-Horng Gau