Forming Bipolar Transistor By Formation Or Alteration Of Semiconductive Active Regions Patents (Class 438/309)
  • Patent number: 6949437
    Abstract: On a multilayer film which is formed on a semiconductor substrate, an opening which is opened on a base and an emitter is formed in the multilayer film, and after an SiGe/SiGeC film, which has a composition with a higher content of Si in an upper layer region and a lower layer region, and a higher content of Ge in an intermediate layer region, is formed on an entire surface, anisotropic dry etching is performed for the SiGe/SiGeC film up to a predetermined height of the opening.
    Type: Grant
    Filed: August 28, 2003
    Date of Patent: September 27, 2005
    Assignee: Fujitsu Limited
    Inventors: Fukashi Harada, Toshihiro Wakabayashi
  • Patent number: 6940130
    Abstract: A body contact structure utilizing an insulating structure between the body contact portion of the active area and the transistor portion of the active area is disclosed. In one embodiment, the present invention substitutes an insulator for at least a portion of the gate layer in the regions between the transistor and the body contact. In another embodiment, a portion of the gate layer is removed and replaced with an insulative layer in regions between the transistor and the body contact. In still another embodiment, the insulative structure is formed by forming multiple layers of gate dielectric between the gate and the body in regions between the transistor and the body contact. The body contact produced by these methods adds no significant gate capacitance to the gate.
    Type: Grant
    Filed: October 16, 2003
    Date of Patent: September 6, 2005
    Assignee: International Business Machines Corporation
    Inventors: Andres Bryant, Peter E. Cottrell, John J. Ellis-Monaghan, Robert J. Gauthier, Jr., Edward J. Nowak, Jed H. Rankin, Fariborz Assaderaghi
  • Patent number: 6939771
    Abstract: A process for forming at least one interface region between two regions of semiconductor material. At least one region of dielectric material comprising nitrogen is formed in the vicinity of at least a portion of a boundary between the two regions of semiconductor material, thereby controlling electrical resistance at the interface.
    Type: Grant
    Filed: September 4, 2003
    Date of Patent: September 6, 2005
    Assignee: International Business Machines Corporation
    Inventors: Arne W. Ballantine, Douglas D. Coolbaugh, Jeffrey Gilbert, Joseph R. Greco, Glenn R. Miller
  • Patent number: 6939802
    Abstract: A semiconductor device having stable device characteristics, in which variation in contact resistance between silicon and poly-silicon or between poly-silicon and poly-silicon is reduced. In a cleaning process before forming an upper layer poly-silicon film, a treatment is conducted to form a thin uniform oxide film on the surface of silicon. After forming the upper layer poly-silicon film 11, a removed portion is uniformly formed on the thin uniform oxide film by applying a short time, high temperature annealing treatment.
    Type: Grant
    Filed: August 29, 2003
    Date of Patent: September 6, 2005
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Masaaki Ikegami
  • Patent number: 6939772
    Abstract: A SiGe spacer layer 151, a graded SiGe base layer 152 including boron, and an Si-cap layer 153 are sequentially grown through epitaxial growth over a collector layer 102 on an Si substrate. A second deposited oxide film 112 having a base opening portion 118 and a P+ polysilicon layer 115 that will be made into an emitter connecting electrode filling the base opening portion are formed on the Si-cap layer 153, and an emitter diffusion layer 153a is formed by diffusing phosphorus into the Si-cap layer 153. When the Si-cap layer 153 is grown, by allowing the Si-cap layer 153 to include boron only at the upper part thereof by in-situ doping, the width of a depletion layer 154 is narrowed and a recombination current is reduced, thereby making it possible to improve the linearity of the current characteristics.
    Type: Grant
    Filed: July 2, 2004
    Date of Patent: September 6, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Akira Asai, Teruhito Ohnishi, Takeshi Takagi
  • Patent number: 6936519
    Abstract: A bipolar transistor, and manufacturing method therefor, with a substrate having a collector region and a base structure provided thereon. An emitter structure is formed over the base structure and an extrinsic base structure is formed over the base structure and over the collector region beside and spaced from the emitter structure. A dielectric layer is deposited over the substrate and connections are formed to the extrinsic base structure, the emitter structure and the collector region.
    Type: Grant
    Filed: August 19, 2002
    Date of Patent: August 30, 2005
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Purakh Raj Verma, Shao-fu Sanford Chu
  • Patent number: 6936910
    Abstract: A method and a BICMOS structure are provided. The BiCMOS structure includes an SOI substrate having a bottom Si-containing layer, a buried insulating layer located atop the bottom Si-containing layer, a top Si-containing layer atop the buried insulating layer and a sub-collector which is located in an upper surface of the bottom Si-containing layer. The sub-collector is in contact with a bottom surface of the buried insulating layer.
    Type: Grant
    Filed: March 23, 2004
    Date of Patent: August 30, 2005
    Assignee: International Business Machines Corporation
    Inventors: John Joseph Ellis-Monaghan, Alvin Jose Joseph, Qizhi Liu, Kirk David Peterson
  • Patent number: 6933202
    Abstract: According to one exemplary embodiment, a method for forming an NPN and a vertical PNP device on a substrate comprises forming an insulating layer over an NPN region and a PNP region of the substrate. The method further comprises forming a buffer layer on the insulating layer and forming an opening in the buffer layer and the insulating layer in the NPN region, where the opening exposes the substrate. The method further comprises forming a semiconductor layer on the buffer layer and in the opening in the NPN region, where the semiconductor layer has a first portion situated in the opening and a second portion situated on the buffer layer in the PNP region. The first portion of the semiconductor layer forms a single crystal base of the NPN device and the second portion of the semiconductor layer forms a polycrystalline emitter of the vertical PNP device.
    Type: Grant
    Filed: April 9, 2004
    Date of Patent: August 23, 2005
    Assignee: Newport Fab, LLC
    Inventors: Paul D. Hurwitz, Kenneth M. Ring, Chun Hu, Amol Kalburge
  • Patent number: 6930010
    Abstract: A conductive structure provides a conductive path from a first region in a semiconductor material to a second spaced apart region in the semiconductor material by forming one or more trenches between the first and second regions, and implanting a dopant into the bottom surfaces of the trenches to form a continuous conductive path.
    Type: Grant
    Filed: September 27, 2004
    Date of Patent: August 16, 2005
    Assignee: National Semiconductor Corporation
    Inventors: William M. Coppock, Charles A. Dark
  • Patent number: 6927140
    Abstract: A method for forming a base of a bipolar transistor. A narrow base is formed using a flash of boron doping gas in a reaction chamber to create a narrow base with high boron concentration. This method allows for reliable formation of a base with high boron concentration while maintaining manageability in controlling deposition of other materials in a substrate.
    Type: Grant
    Filed: August 21, 2002
    Date of Patent: August 9, 2005
    Assignee: Intel Corporation
    Inventors: Ravindra Soman, Anand Murthy
  • Patent number: 6919213
    Abstract: A unipolar spin transistor includes a semiconductor material having a first region, a second region, and a third region. The first region is adjacent to the second region so as to form a first domain between the first region and the second region, and the second region is adjacent to the third region so as to form a second domain between the second region and the third region. A first voltage is provided between the first region and the second region to cause carriers to move across the first domain from the first region to the second region. A second voltage is generated between the second region and the third region to cause the carriers move across the second domain from the second region to the third region. The second voltage has an amplitude different from that of the first voltage. The first region and the third region have a first spin polarization, and the second region has a second spin polarization which may be different from the first spin polarization.
    Type: Grant
    Filed: January 30, 2004
    Date of Patent: July 19, 2005
    Assignees: University of Missouri, University of Iowa Research Foundation
    Inventors: Michael Edward Flatté , Giovanni Vignale
  • Patent number: 6917061
    Abstract: A heterojunction bipolar transistor is provided that has a reduced turn-on voltage threshold. A base spacer layer is provided and alternately an emitter layer is provided that has a lowered energy gap. The lowered energy gap of the base spacer or the emitter spacer allow the heterojunction bipolar transistor to realize a lower turn-on voltage threshold. The thickness of the emitter layer if utilized is kept to a minimum to reduce the associated space charge recombination current in the heterojunction bipolar transistor.
    Type: Grant
    Filed: July 22, 2002
    Date of Patent: July 12, 2005
    Assignee: Microlink Devices, Inc.
    Inventors: Noren Pan, Byung-Kwon Han
  • Patent number: 6913981
    Abstract: Embodiments of a bipolar transistor are disclosed, along with methods for making the transistor. An exemplary transistor includes a collector region in a semiconductor substrate, a base layer overlying the collector region and bound by a field oxide layer, a dielectric isolation layer overlying the base layer, and an emitter structure overlying the dielectric isolation layer and contacting the base layer through a central aperture in the dielectric layer. The transistor may be a heterojunction bipolar transistor with the base layer formed of a selectively grown silicon germanium alloy. A dielectric spacer may be formed adjacent the emitter structure and over a portion of the base layer.
    Type: Grant
    Filed: May 19, 2003
    Date of Patent: July 5, 2005
    Assignee: Micrel, Incorporated
    Inventors: Jay Albert Shideler, Jayasimha Swamy Prasad, Ronald Lloyd Schlupp, Robert William Bechdolt
  • Patent number: 6911368
    Abstract: In a bipolar double-poly transistor comprising a layer of base silicon (1?) on a silicon substrate (2?), a first layer of silicon dioxide (3?) on the base silicon layer (1?), an emitter window (4?) extending through the first layer (3?) of silicon dioxide and the base silicon layer (1?), a second layer (5?) of silicon dioxide in the emitter window (4?), silicon nitride spacers (6?) on the second layer (5?) of silicon dioxide in the emitter window (4?), and emitter silicon (9?) in the emitter window (4?), an isolating silicon nitride seal is provided to separate the base silicon (1?) from the emitter silicon (9?) to prevent short-circuiting between the base silicon (1?) and the emitter silicon (9?) in the transistor.
    Type: Grant
    Filed: July 16, 2004
    Date of Patent: June 28, 2005
    Assignee: Infineon Technologies AG
    Inventors: Ted Johansson, Hans Norström, Anders Lindgren
  • Patent number: 6911715
    Abstract: A bipolar transistor in which the occurrence of Kirk effect is suppressed when a high current is injected into the bipolar transistor and a method of fabricating the bipolar transistor are described. The bipolar transistor includes a first collector region of a first conductive type having high impurity concentration, a second collector region of a first conductive type which has high impurity concentration and is formed on the first collector region, a base region of a second conductive type being formed a predetermined portion of the second collector region, and an emitter region of a first conductive type being formed in the base region. The bipolar transistor further includes the third collector region, which has higher impurity concentration than the second collector region, at the bottom of the base region.
    Type: Grant
    Filed: September 5, 2003
    Date of Patent: June 28, 2005
    Assignee: Fairchild Korea Semiconductor Ltd
    Inventors: Chan-ho Park, Jin-myung Kim, Kyeong-seok Park, Dong-ho Hyun
  • Patent number: 6908824
    Abstract: A method for manufacturing a lateral heterojunction bipolar transistor (HBT) is provided comprising a semiconductor substrate having a first insulating layer over the semiconductor substrate. A base trench is formed in a first silicon layer over the first insulating layer to form a collector layer over an exposed portion of the semiconductor substrate and an emitter layer over the first insulating layer. A semiconductive layer is formed on the sidewalls of the base trench to form a collector structure in contact with the collector layer and an emitter structure in contact with the emitter layer. A base structure is formed in the base trench. A plurality of connections is formed through an interlevel dielectric layer to the collector layer, the emitter layer, and the base structure. The base structure preferably is a compound semiconductive material of silicon and at least one of silicon-germanium, silicon-germanium-carbon, and combinations thereof.
    Type: Grant
    Filed: November 6, 2003
    Date of Patent: June 21, 2005
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Jian Xun Li, Lap Chan, Purakh Raj Verma, Jia Zhen Zheng, Shao-fu Sanford Chu
  • Patent number: 6905934
    Abstract: The invention provides a bipolar transistor with improved performance. An insulation film comprising a silicon oxide film is formed by means of oxidation treatment on the side surface of an emitter aperture, and then an epitaxial layer comprised of SiGe is grown selectively in an aperture formed by removing a silicon nitride film so as to form under cut.
    Type: Grant
    Filed: March 4, 2002
    Date of Patent: June 14, 2005
    Assignees: Hitachi, Ltd., Hitachi ULSI Systems Co., Ltd.
    Inventors: Takashi Hashimoto, Kouji Mikami, Tsutomu Udo, Masao Kondo, Eiji Oue
  • Patent number: 6893932
    Abstract: A bipolar transistor includes a collector that is selected from the group SiC and SiC polytypes (4H, 6H, 15R, 3C . . . ), a base that is selected from the group Si, Ge and SiGe, at least a first emitter that is selected from the group Si, SiGe, SiC, amorphous-Si, amorphous-SiC and diamond-like carbon, and at least a second emitter that is selected from the group Si, SiGe, SiC, amorphous-Si, amorphous-SiC and diamond-like carbon. Direct-wafer-bonding is used to assemble the bipolar transistor. In an embodiment the bandgap of the collector, the bandgap of the at least a first emitter and the bandgap of the at least a second emitter are larger than the bandgap of the base.
    Type: Grant
    Filed: April 15, 2004
    Date of Patent: May 17, 2005
    Assignee: Astralux, Inc.
    Inventors: John Tarje Torvik, Jacques Isaac Pankove
  • Patent number: 6890794
    Abstract: A method of forming a flip chip device comprises providing a semiconductor die having a core area and a periphery area. The periphery area includes an electrostatic discharge (ESD) structure. The semiconductor die including includes at least one power conductor. A substrate having a source of power is provided. A first connection circuit is located within the semiconductor die core area to couple power between the substrate and the semiconductor die power conductor. The ESD structure is electrically coupled to the first connection circuit. The first connection circuit is electrically coupled to the substrate via a conductive bump.
    Type: Grant
    Filed: January 27, 2004
    Date of Patent: May 10, 2005
    Assignee: Marvell Semiconductor Israel Ltd.
    Inventor: Eran Rotem
  • Patent number: 6890826
    Abstract: A method of manufacturing a bipolar junction transistor results in an integrated polysilicon base contact and field plate element minimally spaced from a polysilicon emitter contact by using a single mask to define respective openings for these elements. In particular, a dielectric layer is deposited on a semiconductor wafer and has two openings defined by a single masking step, one opening above an emitter region and a second opening above a base-collector junction region. Polysilicon is deposited on the dielectric layer and selectively doped in the areas of the openings. Thus for an NPN transistor for example, the area above the emitter opening is doped N type and the area above the base/field plate opening is doped P type. The doped polysilicon is patterned and etched to leave a polysilicon emitter contact and an integrated polysilicon base contact and field plate within the respective openings.
    Type: Grant
    Filed: August 23, 2002
    Date of Patent: May 10, 2005
    Assignee: Texas Instruments Incorporated
    Inventor: Sheldon Douglas Haynie
  • Patent number: 6888226
    Abstract: A semiconductor structure includes a base layer of a first conductivity type, a first layer of the first conductivity type arranged on the base layer and having a dopant concentration that is lower than a dopant concentration of the base layer, and a second layer of a second conductivity type being operative with the first layer in order to form a transition between the first conductivity type and the second conductivity type. A course of a dopant profile at the transition between the base layer and the first layer is set such that in an ESD case a space charge region shifted to the transition between the base layer and the first layer reaches into the base layer.
    Type: Grant
    Filed: May 24, 2002
    Date of Patent: May 3, 2005
    Assignee: Infineon Technologies AG
    Inventors: Klaus Diefenbeck, Christian Herzum, Jakob Huber, Karlheinz Müller
  • Patent number: 6884651
    Abstract: A CMOS image sensor is made such that an oxide film, a nitride film, an oxide film, and a nitride film constituting an antireflection film are stacked over the surface of a photodiode, and the oxide film and the nitride film are anisotropically etched, to thereby form sidewalls at both sides of a gate electrode constituting an N type MOS transistor.
    Type: Grant
    Filed: July 10, 2003
    Date of Patent: April 26, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Takashi Toyoda, Masatoshi Kimura
  • Patent number: 6881638
    Abstract: A substrate with a plurality of isolation structures for defining at least an active area thereon is provided. Ions of a first conductive type are implanted into the substrate to form a doping region in the active area. Following that, a protective layer is formed on the substrate, the protective layer having an opening to expose the doping region. A first doping layer of a second conductive type and a second doping layer of the first conductive type are formed on the doping region, respectively, to complete fabrication of a bipolar junction transistor.
    Type: Grant
    Filed: November 26, 2003
    Date of Patent: April 19, 2005
    Assignee: United Microelectronics Corp.
    Inventor: Jing-Horng Gau
  • Patent number: 6878976
    Abstract: Selectively implanting carbon in a transistor lowers the collector-to-emitter breakdown (BVCEO) of the transistor. This transistor, with the lowered BVCEO, is then used as a “trigger” device in an Electrostatic Discharge (ESD) power clamp comprising a first low breakdown trigger device and a second high breakdown clamp device. ESD power clamps are constructed using epitaxial base pseudomorphic Silicon Germanium heterojunction transistors in a common-collector Darlington configuration.
    Type: Grant
    Filed: March 13, 2002
    Date of Patent: April 12, 2005
    Assignee: International Business Machines Corporation
    Inventors: Douglas D. Coolbaugh, Steven H. Voldman
  • Patent number: 6873029
    Abstract: A heterojunction bipolar transistor with self-aligned features having a self-aligned dielectric sidewall spacer disposed between base contact and emitter contact, and self-aligned base mesa aligned relative to self-aligned base contact. The base contact is self-aligned relative to the self-aligned dielectric sidewall spacer providing a predetermined base-to-emitter spacing thereby. The emitter may be an n-type, InP material; the base can be a p-type InGaAs material, possibly carbon-doped. The fabrication method includes forming a emitter electrode on an emitter layer; using the emitter contact as a mask, anisotropically etching the emitter exposing the base layer; forming a self-aligned dielectric sidewall spacer upon the emitter and base; self-alignedly depositing a self-aligned base electrode; using the self-aligned base electrode as a mask, anisotropically etching the base layer to expose the subcollector; and depositing a collector electrode on the subcollector layer.
    Type: Grant
    Filed: February 10, 2003
    Date of Patent: March 29, 2005
    Assignee: Vitesse Semiconductor Corporation
    Inventors: Gang He, James Howard
  • Patent number: 6870184
    Abstract: A bipolar junction transistor (BJT) requires the fabrication of a BJT structure and of a support post which is adjacent to, but physically and electrically isolated from, the BJT structure. The BJT structure includes a semi-insulating substrate, a subcollector, a collector, a base, and an emitter. Metal contacts are formed on the subcollector and emitter to provide collector and emitter terminals. Contact to the structure's base is accomplished with a metal contact which extends from the top of the support post to the edge of the base nearest the support post. The contact bridges the physical and electrical separation between the support post and the base and provides a base terminal for the device. The base contact need extend over the edge of the base by no more than the transfer length associated with the fabrication process. This results in the smaller base contact area over the collector than would otherwise be necessary, and a consequent reduction in base-collector capacitance.
    Type: Grant
    Filed: July 30, 2003
    Date of Patent: March 22, 2005
    Assignee: Innovative Technology Licensing, LLC
    Inventors: James Chingwei Li, Richard L. Pierson, Jr., Berinder P. S. Brar, John A. Higgins
  • Patent number: 6869852
    Abstract: A method of fabricating a bipolar transistor structure that provides unit current gain frequency (fT) and maximum oscillation frequency (fMAX) improvements of a raised extrinsic base using non-self-aligned techniques to establish a self-aligned structure. Accordingly, the invention eliminates the complexity and cost of current self-aligned raised extrinsic base processes. The invention forms a raised extrinsic base and an emitter opening over a landing pad, i.e., etch stop layer, then replaces the landing pad with a conductor that is converted, in part, to an insulator. An emitter is then formed in the emitter opening once the insulator is removed from the emitter opening. An unconverted portion of the conductor provides a conductive base link and a remaining portion of the insulator under a spacer isolates the extrinsic base from the emitter while maintaining self-alignment of the emitter to the extrinsic base. The invention also includes the resulting bipolar transistor structure.
    Type: Grant
    Filed: January 9, 2004
    Date of Patent: March 22, 2005
    Assignee: International Business Machines Corporation
    Inventors: Alvin J. Joseph, Qizhi Liu, BethAnn Rainey, Kathryn T. Schonenberg
  • Patent number: 6861323
    Abstract: A method for forming a heterojunction bipolar transistor includes forming an epitaxial layer, forming a first polysilicon layer, and forming a dielectric layer on the first polysilicon layer. The first polysilicon layer and the dielectric layer include an opening for exposing a portion of the top surface of the epitaxial layer. Then, a silicon germanium layer is selectively grown in the opening. The silicon germanium layer is grown on the exposed top surface of the epitaxial layer and on the exposed sidewall of the first polysilicon layer. Next, a spacer is formed along the sidewalls of the dielectric layer and the silicon germanium layer. A second polysilicon layer in electrical contact with the silicon germanium layer is then formed. Accordingly, a low resistance connection between the first polysilicon layer forming the extrinsic base region and the silicon germanium layer forming the intrinsic base region of the transistor is formed.
    Type: Grant
    Filed: February 21, 2003
    Date of Patent: March 1, 2005
    Assignee: Micrel, Inc.
    Inventor: Jay A. Shideler
  • Patent number: 6858532
    Abstract: An oxide etch process is described which may be used for emitter and base preparation in bipolar SiGe devices. The low temperature process employed produces electrical insulation between the emitter and base by a COR etch which preserves insulating TEOS glass. The insulating TEOS glass provides reduced capacitance and helps to achieve high speed. An apparatus is also described for practicing the disclosed process.
    Type: Grant
    Filed: December 10, 2002
    Date of Patent: February 22, 2005
    Assignee: International Business Machines Corporation
    Inventors: Wesley C. Natzle, David C. Ahlgren, Steven G. Barbee, Marc W. Cantell, Basanth Jagannathan, Louis D. Lanzerotti, Seshadri Subbanna, Ryan W. Wuthrich
  • Patent number: 6858510
    Abstract: A method of making a bi-directional transient voltage suppression device is provided, which comprises: (a) providing a p-type semiconductor substrate; (b) epitaxially depositing a lower semiconductor layer of p-type conductivity; (c) epitaxially depositing a middle semiconductor layer of n-type conductivity over the lower layer; (d) epitaxially depositing an upper semiconductor layer of p-type conductivity over the middle layer; (e) heating the substrate, the lower epitaxial layer, the middle epitaxial layer and the upper epitaxial layer; (f) etching a mesa trench that extends through the upper layer, through the middle layer and through at least a portion of the lower layer, such that the mesa trench defines an active area for the device; and (g) thermally growing an oxide layer on at least those portions of the walls of the mesa trench that correspond to the upper and lower junctions of the device.
    Type: Grant
    Filed: April 24, 2003
    Date of Patent: February 22, 2005
    Assignee: General Semiconductor, Inc.
    Inventors: Willem G. Einthoven, Anthony Ginty, Aidan Walsh
  • Patent number: 6858485
    Abstract: A double-polysilicon, self-aligned bipolar transistor has a collector region formed in a doped semiconductor substrate, an intrinsic counterdoped base formed on the surface of the substrate and a doped emitter formed in the surface of the intrinsic base. Form an etch stop dielectric layer over the intrinsic base layer above the collector. Form a base contact layer of a conductive material over the etch stop dielectric layer and the intrinsic base layer. Form a second dielectric layer over the base contact layer. Etch a wide window through the dielectric layer and the base contact layer stopping the etching of the window at the etch stop dielectric layer. Form an island or a peninsula narrowing the wide window leaving at least one narrowed window within the wide window. Form sidewall spacers in the either the wide window or the narrowed window. Fill the windows with doped polysilicon to form an extrinsic emitter. Form an emitter below the extrinsic emitter in the surface of the intrinsic base.
    Type: Grant
    Filed: May 7, 2003
    Date of Patent: February 22, 2005
    Assignee: International Business Machines Corporation
    Inventors: Gregory G. Freeman, Marwan H. Khater, Francois Pagette, Andreas D. Stricker
  • Patent number: 6855611
    Abstract: A fabrication method of an electrostatic discharge protection circuit is described, in which a buried layer is formed in the substrate of the electrostatic discharge protection circuit, and a sinker layer electrically connected to the buried layer and a drain is also formed therein. Thereby, when the electrostatic discharge protection circuit is activated, the current flows from a source through the buried layer and the sinker layer to the drain. The current flow path is remote from the gate dielectric layer to avoid damaging the gate dielectric by a large current, so as to improve the dielectric strength of the electrostatic discharge protection circuit.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: February 15, 2005
    Assignee: United Microelectronics Corp.
    Inventors: Shiao-Shien Chen, Tsun-Lai Hsu, Tien-Hao Tang, Hua-Chou Tseng
  • Patent number: 6855609
    Abstract: A transistor structure is manufactured for ESD protection in an integrated circuit device. A semiconductor substrate has source and drain diffusion regions and respective source and drain wells under the source and drain diffusion regions. A shallow trench isolation formed over the semiconductor substrate and into the semiconductor substrate separates the source and drain diffusion regions and a portion of the source and drain wells. Source and drain contact structures respectively formed on the shallow trench isolation over the source and drain diffusion regions and extend through the shallow trench isolation to contact the source and drain diffusion regions. An ion implantation is performed through the contact openings into the bottoms of the source and drain wells to control the device trigger voltage and position the discharge current far away from the surface, which increases the device ESD performance significantly.
    Type: Grant
    Filed: September 24, 2003
    Date of Patent: February 15, 2005
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Jun Cai, Guang Ping Hua, Jun Song, Keng Foo Lo
  • Patent number: 6855612
    Abstract: A method for producing bipolar transistors with the aid of selective epitaxy for producing a collector and base. The method includes widening the area of the base either by the isotropic etching of the conductive layer or by the oxidation of the conductive layer and by the subsequent removal of the oxide layer. This widening of the area of the base prevents the occurrence of short-circuits between the emitter and the collector during the subsequent production of the base.
    Type: Grant
    Filed: May 4, 2001
    Date of Patent: February 15, 2005
    Assignee: Infineon Technologies AG
    Inventors: Karl-Heinz Müller, Konrad Wolf
  • Patent number: 6847061
    Abstract: During the conventional manufacture of HBTs, implant damage occurs which leads to enhanced internal base diffusion. This problem has been overcome by making the base and base contact area from a single, uniformly doped layer of silicon-germanium. Instead of an ion implant step to selectively reduce the resistance of this layer away from the base, a layer of polysilicon is selectively deposited (using selective epi deposition) onto only that part. Additionally, the performance of the polysilicon emitter is enhanced by means a brief thermal anneal that drives a small amount of opposite doping type silicon into the SiGe base layer.
    Type: Grant
    Filed: April 3, 2003
    Date of Patent: January 25, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co.
    Inventors: Chun-Lin Tsai, Denny D. Tang, Chih-Min Chiang, Kuan-Lun Chang, Tsyr Shyang, Ruey-Hsin Liu
  • Patent number: 6847063
    Abstract: In a semiconductor device acting as an HBT, an emitter/base laminate portion is provided on a Si epitaxially grown layer in the SiGeC-HBT. The emitter/base laminate portion includes a SiGeC spacer layer, a SiGeC core base layer containing the boron, a Si cap layer, and an emitter layer formed by introducing phosphorous into the Si cap layer. The C content of the SiGeC spacer layer is equal to or lower than that of the SiGeC core base layer.
    Type: Grant
    Filed: April 15, 2003
    Date of Patent: January 25, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Teruhito Ohnishi, Koichiro Yuki, Shigeki Sawada, Keiichiro Shimizu, Koichi Hasegawa, Tohru Saitoh, Paul A. Clifton
  • Patent number: 6847062
    Abstract: In a semiconductor device functioning as a SiGeC-HBT, an emitter/base stacked portion 20 is formed on a Si epitaxially grown layer 2. The emitter/base stacked portion 20 includes: a SiGeC spacer layer 21; a SiGeC core base layer 22 containing boron at a high concentration, a SiGe cap layer 23; a Si cap layer 24, and an emitter layer 25 formed by introducing phosphorus into the Si cap layer 24 and the SiGe cap layer 23.
    Type: Grant
    Filed: April 15, 2003
    Date of Patent: January 25, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Teruhito Ohnishi, Koichiro Yuki, Shigeki Sawada, Keiichiro Shimizu, Koichi Hasegawa, Tohru Saitoh
  • Patent number: 6841810
    Abstract: In one embodiment, a bipolar cell (31) includes a cell boundary (32) that defines a cell active area (33), a first array of bipolar transistors (41) is formed within the cell active area (33) and configured for a first function. The bipolar transistors (42) within the first array (41) are parallel to each other. The bipolar cell (31) further includes a second array of bipolar transistors (61) formed within the cell active area (33) and configured for a second function that is different than the first function. The bipolar transistors (62) within the second array (61) are parallel to each other and oriented in a different direction than the transistors (42) in the first array (41).
    Type: Grant
    Filed: August 8, 2003
    Date of Patent: January 11, 2005
    Assignee: Semiconductor Components Industries, L.L.C.
    Inventors: Philip Alan Jeffery, Kevin Joseph Jurek, Michael S. Lay, Timothy E. Seneff
  • Publication number: 20040262713
    Abstract: A high fT and fmax bipolar transistor (100) includes an emitter (104), a base (120), and a collector (116). The emitter has a lower portion (108) and an upper portion (112) that extends beyond the lower portion. The base includes an intrinsic base (14) and an extrinsic base (144). The intrinsic base is located between the lower portion of the emitter and the collector. The extrinsic base extends from the lower portion of the emitter beyond the upper portion of the emitter and includes a continuous conductor (148) that extends from underneath the upper portion of the emitter and out from underneath the upper portion of the emitter. The continuous conductor provides a low electrical resistance path from a base contact (not shown) to the intrinsic base. The transistor may include a second conductor (152) that does not extend underneath the upper portion of the emitter, but which further reduces the electrical resistance through the extrinsic base.
    Type: Application
    Filed: June 24, 2003
    Publication date: December 30, 2004
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Alvin Jose Joseph, Qizhi Liu
  • Patent number: 6835628
    Abstract: The present invention relates to an integrated circuit having a MOS capacitor. In one embodiment, a method of forming an integrated circuit comprises forming an oxide layer on a surface of a substrate, the substrate having a plurality of isolation islands. Each isolation island is used in forming a semiconductor device. Patterning the oxide layer to expose predetermined areas of the surface of the substrate. Depositing a nitride layer overlaying the oxide layer and the exposed surface areas of the substrate. Implanting ions through the nitride layer, wherein the nitride layer is an implant screen for the implanted ions. Using the nitride layer as a capacitor dielectric in forming a capacitor. In addition, performing a dry etch to form contact openings that extend through the layer of nitride and through the layer of oxide to access selected device regions formed in the substrate.
    Type: Grant
    Filed: November 5, 2001
    Date of Patent: December 28, 2004
    Assignee: Intersil Americas Inc.
    Inventor: James D. Beasom
  • Patent number: 6833606
    Abstract: In the present invention, a semiconductor device is formed which includes an MIM capacitor located on the upper surface of a heterostructure from which the emitter, base and collector sections of a nearby HBT are defined. In this way the capacitor and HBT share a substantially common structure, with the base and emitter electrodes of the HBT fashioned from the same metal layers as the upper and lower capacitor plates, respectively. Furthermore, as the insulator region of the capacitor is formed prior to definition of the HBT structure, the dielectric material used can be deposited by means of a plasma enhanced process, without damaging the HBT structure.
    Type: Grant
    Filed: November 7, 2002
    Date of Patent: December 21, 2004
    Assignee: Denselight Semiconductor PTE LTD
    Inventors: Hiroshi Nakamura, Ting Cheong Ang, Kian Siong Ang, Subrata Halder, Geok Ing Ng
  • Patent number: 6830981
    Abstract: A vertical nanotube transistor and a process for fabricating the same. First, a source layer and a catalyst layer are successively formed on a substrate. A dielectric layer is formed on the catalyst layer and the substrate. Next, the dielectric layer is selectively removed to form a first dielectric mesa, a gate dielectric layer spaced apart from the first dielectric mesa by a first opening, and a second dielectric mesa spaced apart from the gate dielectric layer by a second opening. Next, a nanotube layer is formed in the first opening. Finally, a drain layer is formed on the nanotube layer and the first dielectric mesa, and a gate layer is formed in the second opening. The formation position of the nanotubes can be precisely controlled.
    Type: Grant
    Filed: November 22, 2002
    Date of Patent: December 14, 2004
    Assignee: Industrial Technology Research Institute
    Inventors: Chun-Tao Lee, Lin-Hung Shi, Chi-Cherng Jeng, Wen-Ti Lin, Wei-Su Chen
  • Patent number: 6821832
    Abstract: A method of fabricating an X-ray detector array element. The method decreases consumption of masks during photolithography. A first mask defines a gate line on a substrate. A second mask defines a semiconducting island on a gate insulation layer. A third mask defines a common line and a data line on the gate insulation layer, and source and drain electrodes are simultaneously formed on the semiconducting island, thereby obtaining a TFT structure. A fourth mask defines a first conductive layer on a planarization layer. A fifth mask defines first and second via holes penetrating the planarization layer. A sixth mask defines a third conductive layer, a fourth conductive layer, and a first opening.
    Type: Grant
    Filed: January 20, 2004
    Date of Patent: November 23, 2004
    Assignee: Hannstar Display Corporation
    Inventor: Po-Sheng Shih
  • Patent number: 6818520
    Abstract: According to one exemplary embodiment, a heterojunction bipolar transistor comprises a base. The heterojunction bipolar transistor further comprises a first nitride spacer and a second nitride spacer situated on the base, where the first nitride spacer and the second nitride spacer are separated by a distance substantially equal to a critical dimension. For example, the first nitride spacer and the second nitride spacer may comprise LPCVD or RTCVD silicon nitride. According to this exemplary embodiment, the heterojunction bipolar transistor further comprises an emitter situated between said first nitride spacer and said second nitride spacer, where the emitter has a width substantially equal to the critical dimension. The emitter may, for example, comprise polycrystalline silicon. In another embodiment, a method that achieves the above-described heterojunction bipolar transistor is disclosed.
    Type: Grant
    Filed: February 10, 2003
    Date of Patent: November 16, 2004
    Assignee: Newport Fab, LLC dba Jazz Semiconductor
    Inventor: Klaus F. Schuegraf
  • Publication number: 20040224461
    Abstract: A method of forming a quasi-self-aligned heterojunction bipolar transistor (HBT) that exhibits high-performance is provided. The method includes the use of a patterned emitter landing pad stack which serves to improve the alignment for the emitter-opening lithography and as an etch stop layer for the emitter opening etch. The present invention also provides an HBT that includes a raised extrinsic base having monocrystalline regions located beneath the emitter landing pad stack.
    Type: Application
    Filed: May 28, 2004
    Publication date: November 11, 2004
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: James S. Dunn, Natalie B. Feilchenfeld, Qizhi Liu, Andreas D. Stricker
  • Publication number: 20040222486
    Abstract: A method and a BICMOS structure are provided. The BiCMOS structure includes an SOI substrate having a bottom Si-containing layer, a buried insulating layer located atop the bottom Si-containing layer, a top Si-containing layer atop the buried insulating layer and a sub-collector which is located in an upper surface of the bottom Si-containing layer. The sub-collector is in contact with a bottom surface of the buried insulating layer.
    Type: Application
    Filed: March 23, 2004
    Publication date: November 11, 2004
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: John Joseph Ellis-Monaghan, Alvin Jose Joseph, Qizhi Liu, Kirk David Peterson
  • Patent number: 6815765
    Abstract: A semiconductor device has a structure in which an impurity diffusion region with an impurity concentration lower than an impurity concentration of a source and a drain is formed between the source and drain and a channel below the gate, having an asymmetric shape with respect to a center line along which the gate extends.
    Type: Grant
    Filed: June 25, 2002
    Date of Patent: November 9, 2004
    Assignee: Exploitation of Next Generation Co., Ltd.
    Inventor: Yutaka Arima
  • Patent number: 6815301
    Abstract: A method for fabricating a bipolar transistor includes: a first step of implanting, along the normal direction of the principle surface of a first-conductive-type semiconductor single crystalline substrates ions of a second-conductive-type first impurity into the semiconductor single crystalline substrate to form a second-conductive-type collector layer; a second step of implanting, along the direction tilted from the normal direction, ions of a second-conductive-type second impurity into the semiconductor single crystalline substrate at a higher injection energy than that in the ion implantation of the first step to form a buried collector layer in a lower portion of the collector layer; and a third step of forming each of a first-conductive-type base layer and a second-conductive-type emitter layer in a predetermined region of a surface portion of the collector layer.
    Type: Grant
    Filed: February 20, 2004
    Date of Patent: November 9, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Masao Shindo
  • Patent number: 6806128
    Abstract: With a gate electrode and side wall spacers being used as masks, ions of an n-type impurity are implanted from the normal line direction of a substrate, whereby source/drain diffused regions are formed. Then, ions of an n-type impurity are introduced by oblique implantation having a predetermined angle relative to the normal line direction of the substrate to form an n-type semiconductor region having an impurity concentration higher than source/drain extended regions. By this method, the junction depth of the semiconductor region becomes smaller than that of the source/drain diffused regions and greater than that of the source/drain extended regions.
    Type: Grant
    Filed: July 5, 2001
    Date of Patent: October 19, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Fumio Ootsuka, Katsuhiko Ichinose, Shoji Wakahara
  • Patent number: 6806159
    Abstract: A method for manufacturing a semiconductor device includes forming a buried layer of a semiconductor substrate. An active region is formed adjacent at least a portion of the buried layer. A first isolation structure is formed adjacent at least a portion of the buried layer. A second isolation structure is formed adjacent at least a portion of the active region. A base layer is formed adjacent at least a portion of the active region. A dielectric layer is formed adjacent at least a portion of the base layer, and then at least part of the dielectric layer is removed at an emitter contact location and at a sinker contact location. An emitter structure is formed at the emitter contact location. Forming the emitter structure includes etching the semiconductor device at the sinker contact location to form a sinker contact region. The sinker contact region has a first depth. The method may also include forming a gate structure.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: October 19, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Angelo Pinto, Jeffrey A. Babcock, Michael Schober, Scott G. Balster, Christoph Dirnecker