Attaching Or Detaching Leads Or Other Conductive Members, To Be Used For Carrying Current To Or From Device In Operation (epo) Patents (Class 257/E21.506)
  • Publication number: 20110210437
    Abstract: A method of manufacture of an integrated circuit packaging system includes: providing a substrate; forming a component connector on the substrate; forming a resist layer on the substrate with the component connector exposed; forming a vertical insertion cavity in the resist layer, the vertical insertion cavity isolated from the component connector or a further vertical insertion cavity, the vertical insertion cavity having a cavity side that is orthogonal to the substrate; forming a rounded interconnect in the vertical insertion cavity, the rounded interconnect nonconformal to the vertical insertion cavity; and mounting an integrated circuit device on the component connector.
    Type: Application
    Filed: February 26, 2010
    Publication date: September 1, 2011
    Inventors: DeokKyung Yang, SeungYun Ahn
  • Publication number: 20110210432
    Abstract: According to one embodiment, a semiconductor device includes: a lead group including a plurality of leads; a plurality of semiconductor memory chips stacked in a step shape on the lead group; and a resin mold section that seals the semiconductor memory chips. One end of a third lead and the other end of a second lead is connected by a metal wire for relay crossing over a first lead section included in the lead group. The metal wire for relay is provided in a space between the semiconductor memory chips stacked in the step shape and the lead group.
    Type: Application
    Filed: February 11, 2011
    Publication date: September 1, 2011
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Yoshiaki GOTO
  • Patent number: 8008132
    Abstract: A method of fabricating a leadframe-based semiconductor package, and a semiconductor package formed thereby, are disclosed. The semiconductor package includes a leadframe and one or more semiconductor die affixed to a die paddle of the leadframe. The leadframe is formed with a plurality of electrical terminals that get surface mounted to a host PCB. The leadframe further includes one or more extended leads, at least one of which includes an electrically conductive island which gets surface mounted to the host PCB with the electrical terminals. The islands effectively increase the number terminals within the package without adding footprint to the package.
    Type: Grant
    Filed: December 28, 2007
    Date of Patent: August 30, 2011
    Assignee: SanDisk Technologies Inc.
    Inventors: Suresh Upadhyayula, Bonnie Ming-Yan Chan, Shih-Ping Fan-chiang, Hem Takiar
  • Patent number: 8008124
    Abstract: An adhesive film for a semiconductor containing an (A) ester (meth)acrylate copolymer and a (B) thermoplastic resin other than the ester (meth)acrylate copolymer, and composed so as to satisfy the following formula (1) for two hours from 10 minutes after starting measurement, in which ? represents an amount of shearing strain produced upon undergoing a shearing stress of 3000 Pa at a frequency of 1 Hz and a temperature of 175° C. on parallel plates of 20 mm in diameter, exhibits superior filling performance in surface unevenness of a substrate through an encapsulating material sealing process, despite that semiconductor chips are stacked in multiple layers in the semiconductor device and hence a wire bonding process imposes a longer thermal history. 0.10???0.
    Type: Grant
    Filed: February 26, 2008
    Date of Patent: August 30, 2011
    Assignee: Sumitomo Bakelite Co., Ltd.
    Inventor: Hiroyuki Yasuda
  • Publication number: 20110204497
    Abstract: A semiconductor integrated circuit having a semiconductor chip mounted over a tape- or film-like substrate, the semiconductor integrated circuit having a higher strength against bending, as well as a method for manufacturing the semiconductor integrated circuit, are disclosed. The semiconductor integrated circuit comprises a bendable tape-like substrate, the tape-like substrate including external terminals, internal terminals provided for coupling to a rectangular semiconductor chip, and wiring lines for coupling the internal terminals and the external terminals with each other; and a reinforcing member for reinforcing the semiconductor chip over the tape-like substrate in a longitudinal direction of the semiconductor chip, the semiconductor chip and the reinforcing member being sealed with resin.
    Type: Application
    Filed: February 14, 2011
    Publication date: August 25, 2011
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventors: Satoru Matsuda, Tsukasa Yasuda, Ichiro Matsumoto
  • Publication number: 20110204500
    Abstract: Provided are power device packages, which include thermal electric modules using the Peltier effect and thus can improve operational reliability by rapidly dissipating heat generated during operation to the outside, and methods of fabricating the same. An exemplary power device package includes: a thermal electric module having a first surface and a second surface opposite each other, and a plurality of n-type impurity elements and a plurality of p-type impurity elements alternately and electrically connected to each other in series; a lead frame attached to the first surface of the thermal electric module by an adhesive member; at least one power semiconductor chip and at least one control semiconductor chip, each chip being mounted on and electrically connected to the lead frame; and a sealing member sealing the thermal electric module, the chips, and at least a portion of the lead frame, but exposing the second surface of the module.
    Type: Application
    Filed: May 4, 2011
    Publication date: August 25, 2011
    Inventors: Seung-won Lim, O-soeb Jeon, Joon-Seo Son, Byoung-ok Lee, Man-kyo Jong
  • Publication number: 20110204516
    Abstract: A manufacturing method of a single chip semiconductor coating structure includes following steps. Step 1 is providing a single chip semiconductor which has a plurality of surfaces, and two opposite surfaces selected from the plurality of surfaces are manufacturing surfaces and have a conductive area with a pad thereon, respectively. Step 2 is providing a tool to cover one of the conductive areas with the pad. Step 3 is providing a coating step to form an insulating layer on the single chip semiconductor. Step 4 is providing a removing step to remove the insulating layer for exposing the covered conductive area and the pad. Step 5 is forming two electrodes and each of the two electrodes covers the conductive area with the pad.
    Type: Application
    Filed: February 24, 2010
    Publication date: August 25, 2011
    Inventors: Liang-Chieh Wu, Cheng-Yi Wang
  • Patent number: 8004070
    Abstract: A wire-free chip module and method. The wire-free chip module including a conductive pattern formed from at least a portion of a lead frame, the conductive pattern including a plurality of pads; at least two electrical components that includes an integrated circuit and a passive component, the integrated circuit and the passive component bonded to the plurality of pads by solder; and wherein the conductive pattern is disposed to interconnect at least a portion of the integrated circuit with the passive component.
    Type: Grant
    Filed: April 29, 2008
    Date of Patent: August 23, 2011
    Inventor: Wei Chen
  • Patent number: 8003446
    Abstract: A single step packaging process that both melts a solder and also cures an adhesive about a microelectronic circuit. The process finds technical advantages by simplifying packaging of a die that may be coupled to a planar flexible lead, which leads to a lower production cost and quicker manufacturing time. The planar flexible lead may be adapted to bend and flex during mechanical stress and during extreme temperature cycling, and allow direct mounting of the device to a member by easily welding or soldering. The invention may comprise a flexible solar cell diode that can be closely positioned on solar panels at an extremely low cost.
    Type: Grant
    Filed: October 27, 2009
    Date of Patent: August 23, 2011
    Assignee: Microsemi Corporation
    Inventor: Tracy Autry
  • Publication number: 20110201158
    Abstract: A method of packaging an integrated circuit, including providing a lead frame having lead fingers, where the lead frame has a gold layer thereon on a top surface and a bottom surface. An integrated circuit die is attached to the lead frame. The gold layer is substantially removed from portions of the top surface of the lead frame. The integrated circuit die is wire bonded to the lead fingers with a plurality of wire stitches subsequent to substantially removing the gold. The die is encapsulated in a mold compound to form a packaged integrated circuit.
    Type: Application
    Filed: April 27, 2011
    Publication date: August 18, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Takahiko KUDOH, Muhammad Faisal KHAN
  • Publication number: 20110201151
    Abstract: Bottom sides of two semiconductor substrates are brought together with at least one bonding material layer therebetween and bonded to form a bonded substrate. A cavity with two openings and a contiguous path therebetween is provided within the at least one bonding layer. At least one through substrate via and other metal interconnect structures are formed within the bonded substrate. The cavity is employed as a cooling channel through which a cooling fluid flows to cool the bonded semiconductor substrate during the operation of the semiconductor devices in the bonded substrate. Alternatively, a conductive cooling fin with two end portions and a contiguous path therebetween is formed within the at least one bonding layer. The two end portions of the conductive cooling fin are connected to heat sinks to cool the bonded semiconductor substrate during the operation of the semiconductor devices in the bonded substrate.
    Type: Application
    Filed: March 2, 2011
    Publication date: August 18, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jeffrey P. Gambino, Anthony K. Stamper
  • Publication number: 20110198737
    Abstract: A quad flat non-leaded (QFN) package structure with an electromagnetic interference (EMI) shielding function is proposed, including: a lead frame having a die pad, a plurality of supporting portions connecting to the die pad and a plurality of leads disposed around the periphery of the die pad without connecting to the die pad; a chip mounted on the die pad; bonding wires electrically connecting the chip and the leads; an encapsulant for encapsulating the chip, the bonding wires and the lead frame and exposing the side and bottom surfaces of the leads and the bottom surface of the die pad; and a shielding film disposed on the top and side surfaces of the encapsulant and electrically connecting to the supporting portions for shielding from EMI. A method of fabricating the package structure as described above is further proposed.
    Type: Application
    Filed: April 28, 2010
    Publication date: August 18, 2011
    Applicant: SILICONWARE PRECISION INDUSTRIES CO., LTD.
    Inventors: Chin-Tsai Yao, Chien-Ping Huang, Chun-Chi Ke
  • Publication number: 20110198740
    Abstract: According to one embodiment, a semiconductor storage device includes an organic board provided with external connection terminals on one surface and formed as an individual piece into a plane shape substantially identical to that of an area where the external connection terminals are provided, a lead frame having a mounting area positioned relative to the organic board, and a semiconductor memory chip bonded to the mounting area.
    Type: Application
    Filed: February 15, 2011
    Publication date: August 18, 2011
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Ryoji MATSUSHIMA
  • Publication number: 20110198735
    Abstract: Assembly of at least one microelectronic chip with a wire element, the chip comprising a groove for embedment of the wire element. The wire element is a strand with a longitudinal axis substantially parallel to the axis of the groove, comprising at least two electrically conducting wires covered with insulator. The chip comprises at least one electrically conducting bump in the groove, this bump being in electric contact with a stripped area of a single one of the electrically conducting wires of the strand.
    Type: Application
    Filed: October 21, 2009
    Publication date: August 18, 2011
    Applicant: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventors: Jean Brun, Sophie Verrun, Dominique Vicard
  • Publication number: 20110198738
    Abstract: A method for manufacturing a microelectronic package (1) comprises the steps of providing at least two members (10, 11, 16) comprising electrically conductive material; providing a microelectronic device (15); placing the electrically conductive members (10, 11, 16) and the microelectronic device (15) in predetermined positions with respect to each other, and establishing electrical connections between each of the electrically conductive members (10, 11, 16) and the microelectronic device (15); and providing a non-conductive material for encapsulating the microelectronic device (15) and a portion of the electrically conductive members (10, 11, 16) connected thereto. The electrically conductive members (10, 11, 16) are intended to be used for realizing contact of the microelectronic device (15) arranged inside the package (1) to the external world.
    Type: Application
    Filed: October 16, 2009
    Publication date: August 18, 2011
    Applicant: NXP B.V.
    Inventors: Peter WIilhelmus Maria Van De Water, Paulus Martinus Catharina Hesen, Roelf Anco Jacob Groenhuis
  • Publication number: 20110201159
    Abstract: A semiconductor package 10 comprising: terminals 14 electrically connected to a semiconductor device 11; and a resin 15 for sealing a part of the terminals 14 and the device 11; wherein an electrolytic plating layer 19 of Ag, Sn, or Ni is formed on each of bottom surfaces 17 of the terminals 14 partly projecting from the resin 15; an electroless plating layer 22 of Ni, Sn, Ag, Ag/Au, Ni/Au, Ni/Ag, Ni/Pd/Au, or Ni/Pd/Ag is formed thereon; and an electroless plating layer 22 comprising the same material as the electroless plating layer 22 previously formed on the bottom surface 17 of the protruding terminal 14, is formed on each lateral surfaces 20 of the protruding terminals 14. This configuration enables a lead frame material 32 to be etched from its bottom surface to separate the terminals 14 from each other, thereby preventing corrosion due to oxidation of the lateral surfaces (standoff sides) of the terminals 14 exposed by the etching, and further reducing a total manufacturing cost.
    Type: Application
    Filed: September 25, 2009
    Publication date: August 18, 2011
    Applicant: MITSUI HIGH-TEC, INC.
    Inventors: Shuji Mori, Koji Shimizu, Nozomi Nishimura
  • Publication number: 20110201155
    Abstract: To provide a technology capable of preventing the deterioration of the reliability of semiconductor devices caused by the gasification of a part of components of the material constituting a wiring substrate. A wiring layer constituting a circuit pattern is formed over each of the front and rear surfaces of a glass epoxy substrate, and after the formation of a solder resist covering the wiring layer while exposing a part of the wiring layer and prior to a heat treatment (first heat treatment) at 100° C. to 150° C. for dehumidification, a heat treatment (second heat treatment) at 160° C. to 230° C. for gasifying and discharging an organic solvent contained in the material constituting a wiring substrate is performed for the wiring substrate.
    Type: Application
    Filed: February 9, 2011
    Publication date: August 18, 2011
    Inventors: Soshi Kuroda, Masatoshi Yasunaga, Hironori Matsushima, Kenya Hironaga
  • Publication number: 20110198741
    Abstract: An integrated circuit package system having a body with a top surface, a bottom surface, and a plurality of side surfaces has a leadframe and encapsulating material that encapsulates at least a portion of the leadframe. The leadframe and encapsulating material are part of the body. The leadframe has a die paddle for supporting a die, and a plurality of leads spaced from the die paddle. The encapsulating material thus also separates the die paddle from the plurality of leads. At least a first portion of the die paddle is exposed to the top surface, while at least a second portion of the die paddle is exposed to the bottom surface.
    Type: Application
    Filed: February 17, 2010
    Publication date: August 18, 2011
    Applicant: ANALOG DEVICES, INC.
    Inventors: John Alberghini, Oliver Kierse
  • Patent number: 7999374
    Abstract: A semiconductor component includes a semiconductor element that has a plurality of signals, a wiring board that is disposed below the semiconductor element and that draws the plurality of signals of the semiconductor element, a heat conduction member that dissipates heat generated by the semiconductor element, a joining member that is disposed between the semiconductor element and the heat conduction member and that joins the heat conduction member to the semiconductor element, a support member formed with an opening so as to surround the semiconductor element that supports the heat conduction member, a first adhesive member that is disposed between the support member and the wiring board to bond the support member with the wiring board and a second adhesive member that is disposed between the support member and the heat conduction member to bond the support member with the heat conduction member.
    Type: Grant
    Filed: September 22, 2009
    Date of Patent: August 16, 2011
    Assignee: Fujitsu Limited
    Inventors: Tsuyoshi So, Hideo Kubo, Seiji Ueno, Osamu Igawa
  • Patent number: 7999371
    Abstract: A heat spreader package includes a substrate having a first surface, first traces on the first surface of the substrate, and an electronic component having an inactive surface mounted to the first surface of the substrate. The electronic component further includes an active surface having bond pads. Bond wires electrically connect the bond pads to the first traces. An inverted pyramid heat spreader includes a first heatsink, a first heatsink adhesive directly connecting the first heatsink to the active surface of the electronic component inward of the bond pads, a second heatsink having an absence of active circuitry, and a second heatsink adhesive directly connecting a first surface of the second heatsink to the first heatsink. The second heatsink adhesive is a dielectric directly between the bond wires and the second heatsink that prevents inadvertent shorting between the bond wires and the second heatsink.
    Type: Grant
    Filed: February 9, 2010
    Date of Patent: August 16, 2011
    Assignee: Amkor Technology, Inc.
    Inventors: Adrian Arcedera, Sasanka Laxmi Narasimha Kanuparthi
  • Patent number: 7998853
    Abstract: Methods for making and testing a semiconductor device with through substrate vias are described. In some examples, a method of making a semiconductor device includes: forming through substrate vias (TSVs) in a substrate having an integrated circuit (IC) die, the substrate including an active side and a backside, the active side having conductive interconnect formed thereon, the TSVs including exposed portions on the backside of the substrate; patterning first metal on the active side of the substrate to electrically couple the TSVs to a portion of the conductive interconnect; and coupling the exposed portions of the TSVs on the backside of the substrate to electrically couple together the plurality of TSVs.
    Type: Grant
    Filed: April 6, 2009
    Date of Patent: August 16, 2011
    Assignee: Xilinx, Inc.
    Inventor: Arifur Rahman
  • Publication number: 20110193223
    Abstract: A semiconductor device includes: a semiconductor chip having a semiconductor substrate; a pad electrode formed on the semiconductor substrate; a base metal layer formed on said pad electrode; and a bump electrode formed on the base metal layer, in which an exposed surface including a side surface of the base metal layer is covered with the solder bump electrode.
    Type: Application
    Filed: February 1, 2011
    Publication date: August 11, 2011
    Applicant: SONY CORPORATION
    Inventors: Hiroshi Ozaki, Hiroshi Asami
  • Publication number: 20110193208
    Abstract: The invention relates to a semiconductor package of a flip chip and a method for making the semiconductor package. The semiconductor chip comprises a metal-oxide-semiconductor field effect transistor. On a die paddle including a first base, a second base and a third base, half-etching or punching is performed on the top surfaces of the first base and the second base to obtain plurality of grooves that divide the top surface of the first base into a plurality of areas comprising multiple first connecting areas, and divide the top surface of the second base into a plurality of areas comprising at least a second connecting area. The semiconductor chip is connected to the die paddle at the first connecting areas and the second connecting area.
    Type: Application
    Filed: April 21, 2011
    Publication date: August 11, 2011
    Inventors: Yan Xun Xue, Yueh-Se Ho, Hamza Yilmaz, Jun Lu
  • Publication number: 20110193085
    Abstract: Methods for forming structures to use in atomic force probing of a conductive feature embedded in a dielectric layer and structures for use in atomic force probing. An insulator layer is formed on the dielectric layer such that the conductive feature is covered. A contact hole penetrates from a top surface of the insulator layer through the insulator layer to the conductive feature. The contact hole is at least partially filled with a conductive stud that is in electrical contact with the conductive feature and exposed at the top surface of the insulator layer so as to define a structure. A probe tip of an atomic force probe tool is landed on a portion of the structure and used to electrically characterize a device structure connected with the conductive feature.
    Type: Application
    Filed: February 10, 2010
    Publication date: August 11, 2011
    Applicant: International Business Machines Corporation
    Inventors: David R. Goulet, Walter V. Lepuschenko
  • Publication number: 20110186960
    Abstract: Embodiments of the present disclosure provide a method comprising providing a semiconductor substrate having (i) a first surface and (ii) a second surface that is disposed opposite to the first surface, forming a dielectric film on the first surface of the semiconductor substrate, forming a redistribution layer on the dielectric film, electrically coupling one or more dies to the redistribution layer, forming a molding compound on the semiconductor substrate, recessing the second surface of the semiconductor substrate, forming one or more channels through the recessed second surface of the semiconductor substrate to expose the redistribution layer; and forming one or more package interconnect structures in the one or more channels, the one or more package interconnect structures being electrically coupled to the redistribution layer, the one or more package interconnect structures to route electrical signals of the one or more dies. Other embodiments may be described and/or claimed.
    Type: Application
    Filed: January 14, 2011
    Publication date: August 4, 2011
    Inventors: Albert Wu, Roawen Chen, Chung Chyung Han, Shiann-Ming Liou, Chien-Chuan Wei, Runzi Chang, Scott Wu, Chuan-Cheng Cheng
  • Publication number: 20110186977
    Abstract: A semiconductor wafer has a plurality of first semiconductor die. A second semiconductor die is mounted to the first semiconductor die. The active surface of the first semiconductor die is oriented toward an active surface of the second semiconductor die. An encapsulant is deposited over the first and second semiconductor die. A portion of a back surface of the second semiconductor die opposite the active surface is removed. Conductive pillars are formed around the second semiconductor die. TSVs can be formed through the first semiconductor die. An interconnect structure is formed over the back surface of the second semiconductor die, encapsulant, and conductive pillars. The interconnect structure is electrically connected to the conductive pillars. A portion of a back surface of the first semiconductor die opposite the active surface is removed. A heat sink or shielding layer can be formed over the back surface of the first semiconductor die.
    Type: Application
    Filed: January 29, 2010
    Publication date: August 4, 2011
    Applicant: STATS CHIPPAC, LTD.
    Inventors: HeeJo Chi, NamJu Cho, HanGil Shin
  • Patent number: 7989356
    Abstract: A semiconductor device has a first conductive layer formed over a substrate. A first insulating layer is formed over the first conductive layer. A second conductive layer is formed over first insulating layer and first conductive layer. A third insulating layer is formed over the second insulating layer and second conductive layer. An under bump metallization layer (UBM) is formed over the third insulating layer and second conductive layer. A UBM build-up structure is formed over the UBM. The UBM build-up structure has a sloped sidewall and is confined within a footprint of the UBM. The UBM build-up structure extends above the UBM to a height of 2-20 micrometers. The UBM build-up structure is formed in sections occupying less than an area of the UBM. A solder bump is formed over the UBM and UBM build-up structure. The sections of the UBM build-up structure provide exits for flux vapor escape.
    Type: Grant
    Filed: March 24, 2009
    Date of Patent: August 2, 2011
    Assignee: STATS ChipPAC, Ltd.
    Inventors: Xusheng Bao, Yaojian Lin, Tae Hoan Jang
  • Publication number: 20110180920
    Abstract: An assembly can include a microelectronic element such as, for example, a semiconductor element having circuits and semiconductor devices fabricated therein, and a plurality of electrical connectors, e.g., solder balls attached to contacts of the microelectronic element. The connectors can be surrounded by first, inner regions 200 of compressible dielectric material and second, outer regions of dielectric material. In one embodiment, an underfill can contact a face of the microelectronic element between respective connectors or second regions. The second regions can provide restraining force, such that during volume expansion of the connectors, the first regions can compress against the restraining force of the second regions.
    Type: Application
    Filed: January 28, 2010
    Publication date: July 28, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Mukta G. Farooq, John A. Fitzsimmons
  • Publication number: 20110183473
    Abstract: To solve a problem in that a die processing cost increases when employing a method involving providing a suction hole in the die to fix an island onto a bottom surface, provided is a semiconductor device, which includes: a semiconductor chip, an island having a first surface, on which the semiconductor chip is mounted, and a second surface opposing to the first surface, a hanger pin extended from the island, a branch portion extended from one of the island and the hanger pin, and a resin encapsulating the semiconductor chip, the island, the hanger pin and the brunch portion while exposing the second surface of the island.
    Type: Application
    Filed: April 6, 2011
    Publication date: July 28, 2011
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: Naoto KIMURA
  • Publication number: 20110175217
    Abstract: The present technology is directed toward semiconductors packaged by electrically coupling a plurality of die to an upper and lower lead frame. The opposite edges of each corresponding set of leads in the upper lead frame are bent. The leads in the upper lead frame are electrically coupled between respective contacts on respective die and respective lower portion of the leads in the lower lead frame. The bent opposite edges of each corresponding set of leads of the upper lead frame support the upper lead frame before encapsulation, for achieving a desired position of the plurality of die between the leads of the upper and lower lead frames in the packaged semiconductor. After the encapsulated die are separated, the upper leads have an L-shape and electrically couple die contacts on upper side of the die to leads on the lower side of the die so that the package contacts are on the same side of the semiconductor package.
    Type: Application
    Filed: March 24, 2010
    Publication date: July 21, 2011
    Applicant: VISHAY-SILICONIX
    Inventors: Serge Jaunay, Suresh Belani, Frank Kuo, Sen Mao, Peter Wang
  • Publication number: 20110175209
    Abstract: In one embodiment, a semiconductor die is formed to have sloped sidewalls. A conductor is formed on the sloped sidewalls.
    Type: Application
    Filed: January 18, 2010
    Publication date: July 21, 2011
    Inventors: Michael J. Seddon, Francis J. Carney, Gordon M. Grivna
  • Publication number: 20110175218
    Abstract: Embodiments of the present disclosure provide a method that includes providing a semiconductor substrate comprising a semiconductor material, forming a dielectric layer on the semiconductor substrate, forming an interconnect layer on the dielectric layer, attaching a semiconductor die to the semiconductor substrate, and electrically coupling an active side of the semiconductor die to the interconnect layer, the interconnect layer to route electrical signals of the semiconductor die. Other embodiments may be described and/or claimed.
    Type: Application
    Filed: December 20, 2010
    Publication date: July 21, 2011
    Inventors: Shiann-Ming Liou, Sehat Sutardja, Albert Wu, Chuan-Cheng Cheng, Chien-Chuan Wei
  • Publication number: 20110177643
    Abstract: A fabrication method of a package structure having at least an MEMS element is provided, including: preparing a wafer having electrical connection pads and the at least an MEMS element; disposing lids for covering the at least an MEMS element, the lids having a metal layer formed thereon; electrically connecting the electrical connection pads and the metal layer with bonding wires; forming an encapsulant for covering the lids, bonding wires, electrical connection pads and metal layer; removing portions of the encapsulant to separate the bonding wires each into first and second sub-bonding wires, wherein top ends of the first and second sub-bonding wires are exposed, the first sub-bonding wires electrically connecting to the electrical connection pads, and the second sub-bonding wires electrically connecting to the metal layer; forming metallic traces on the encapsulant for electrically connecting to the first sub-bonding wires; forming bumps on the metallic traces; and performing a singulation process.
    Type: Application
    Filed: April 28, 2010
    Publication date: July 21, 2011
    Applicant: SILICONWARE PRECISION INDUSTRIES CO., LTD.
    Inventors: Chi-Hsin Chiu, Chih-Ming Huang, Chang-Yueh Chan, Hsin-Yi Liao, Chun-Chi Ke
  • Publication number: 20110175213
    Abstract: A semiconductor device includes: at least one semiconductor element having electrode terminals; a metal plate supporting the semiconductor element; and a wiring board covering the semiconductor element and including a plurality of insulating layers and wiring layers alternately stacked and external connection terminals on a surface, the wiring layers being electrically connected to each other by vias. The electrode terminals and the external connection terminals are electrically connected via at least one of the wiring layers and the vias. At least one of the electrode terminals, the is wiring layers, and the vias is electrically connected to the metal plate.
    Type: Application
    Filed: October 5, 2009
    Publication date: July 21, 2011
    Inventors: Kentaro Mori, Daisuke Ohshima, Shintaro Yamamichi, Hideya Murai, Katsumi Maeda, Katsumi Kikuchi, Yoshiki Nakashima
  • Publication number: 20110171776
    Abstract: An antenna used for an ID chip or the like is disclosed with planarized antenna unevenness and an IC chip having such the antenna with a flat surface is disclosed. Manufacturing an integrated circuit mounted with an antenna is facilitated. A laminated body formed by stacking a conductive film 11, a resin film 13, an integrated circuit 12, and a resin film 14 are rolled so that the resin film 14 is outside. Then, the laminated body is integrated in a roll form by softening the resin films 13, 14 by applying heat. By slicing the rolled laminated body along with the direction in which the rolled conductive film 31 appears in the cross section, an IC chip with antenna formed by the rolled conductive film 11 is formed.
    Type: Application
    Filed: March 22, 2011
    Publication date: July 14, 2011
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Naoto KUSUMOTO, Takuya TSURUME
  • Publication number: 20110171781
    Abstract: A method of fabricating a semiconductor device includes providing a semiconductor substrate having an active surface, thinning the substrate by removing material from a second surface of the substrate opposite the active surface, bonding a metal carrier to the second surface of the thinned substrate, forming a via opening in the thinned substrate, forming a conductive member in the via opening, and patterning the metal carrier bonded to the second surface of the thinned substrate to form a metal pattern.
    Type: Application
    Filed: March 23, 2011
    Publication date: July 14, 2011
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jong Ho LEE, Dong Ho LEE, Eun Chul AHN, Yong Chai KWON
  • Publication number: 20110169125
    Abstract: A method is described for creating at least one recess in a semiconductor component, in particular a micromechanical or electrical semiconductor component, having the following steps: applying at least one mask to the semiconductor component, forming at least one lattice having at least one or more lattice openings in the mask over the recess to be formed, the lattice opening or lattice openings being formed as a function of the etching rate and/or the dimensioning of the recess to be formed; forming the recess below the lattice.
    Type: Application
    Filed: January 11, 2011
    Publication date: July 14, 2011
    Inventors: Jochen REINMUTH, Barbara Will, Heribert Weber
  • Publication number: 20110169150
    Abstract: A semiconductor package includes a substrate unit, a die electrically connected to first contact pads, and a package body covering a first patterned conductive layer and the die. The substrate unit includes: (1) the first patterned conductive layer; (2) a first dielectric layer exposing a part of the first patterned conductive layer to form the first contact pads; (3) a second patterned conductive layer; (4) a second dielectric layer defining openings extending from the first patterned conductive layer to the second patterned conductive layer, where the second patterned conductive layer includes second contact pads exposed by the second dielectric layer; and (5) conductive posts extending from the first patterned conductive layer to the second contact pads through the openings, each of the conductive posts filling a corresponding one of the openings. At least one of the conductive posts defines a cavity.
    Type: Application
    Filed: January 13, 2011
    Publication date: July 14, 2011
    Applicant: ADVANCED SEMICONDUCTOR ENGINEERING, INC.
    Inventors: Yuan-Chang Su, Shih-Fu Huang, Chia-Cheng Chen, Tzu-Hui Chen, Kuang-Hsiung Chen, Pao-Ming Hsieh, Ming Chiang Lee, Bernd Karl Appelt
  • Publication number: 20110169144
    Abstract: A semiconductor die package and method of making the package. The package may have four semiconductor dies with one or more internally connected switch nodes, and may form a dual output or phase synchronous buck converter. The package may have control leads at opposite sides of the package from each other. Furthermore, the package may contain high side semiconductor dies that are oriented perpendicular to low side semiconductor dies.
    Type: Application
    Filed: July 9, 2010
    Publication date: July 14, 2011
    Inventor: Tomas Moreno
  • Publication number: 20110165733
    Abstract: A method of making a microelectronic assembly can include molding a dielectric material around at least two conductive elements which project above a height of a substrate having a microelectronic element mounted thereon, so that remote surfaces of the conductive elements remain accessible and exposed within openings extending from an exterior surface of the molded dielectric material. The remote surfaces can be disposed at heights from said surface of said substrate which are lower or higher than a height of the exterior surface of the molded dielectric material from the substrate surface. The conductive elements can be arranged to simultaneously carry first and second different electric potentials: e.g., power, ground or signal potentials.
    Type: Application
    Filed: January 25, 2011
    Publication date: July 7, 2011
    Applicant: TESSERA, INC.
    Inventors: Belgacem Haba, Teck-Gyu Kang, Ilyas Mohammed, Ellis Chau
  • Publication number: 20110163430
    Abstract: A package structure and related methods are described. In one embodiment, the package structure includes a chip, a plurality of leads disposed around and electrically coupled to the chip, and a package body formed over the chip and the plurality of leads. At least one lead includes a central metal layer having an upper surface and a lower surface, a first protruding metal block having an upper surface and extending upwardly from the upper surface of the central metal layer, a second protruding metal block having a lower surface and extending downwardly from the lower surface of the central metal layer, a first finish layer on the upper surface of the first protruding metal block, and a second finish layer on the lower surface of the second protruding metal block. The package body substantially covers the first protruding metal block and the first finish layer of each of the leads.
    Type: Application
    Filed: January 6, 2010
    Publication date: July 7, 2011
    Inventors: Yuyong Lee, Seokbong Kim
  • Publication number: 20110163456
    Abstract: An electronic device substrate includes a resin layer, a semiconductor layer disposed in a first region on the resin layer, a plurality of insulating films disposed in the first region on the resin layer, and connection terminals disposed in a second region on the resin layer, the connection terminals being used for connection to an external component to be connected. The connection terminals in plan view do not overlap with any insulating films composed of an inorganic material among the plurality of insulating films.
    Type: Application
    Filed: December 21, 2010
    Publication date: July 7, 2011
    Applicant: Seiko Epson Corporation
    Inventors: Mitsutoshi Miyasaka, Taimei Kodaira
  • Publication number: 20110156229
    Abstract: A technology enabling reduction of the size of a semiconductor device including a micro and a power MOSFET is provided. The semiconductor device is obtained by single packaging a first semiconductor chip with a micro formed therein and second semiconductor chips with a power MOSFET formed therein. This makes it possible to reduce the size of the semiconductor device as compared with cases where a first semiconductor chip with a micro formed therein and second semiconductor chips with a power MOSFET formed therein are separately packaged.
    Type: Application
    Filed: December 26, 2010
    Publication date: June 30, 2011
    Inventor: Minoru SHINOHARA
  • Publication number: 20110159643
    Abstract: A fabrication method of a semiconductor package structure includes: patterning a metal plate having first and second surfaces; forming a dielectric layer on the metal plate; forming a metal layer on the first surface and the dielectric layer; forming metal pads on the second surface, the metal layer having a die pad and traces each having a bond pad; mounting a semiconductor chip on the die pad, followed by connecting electrically the semiconductor chip to the bond pads through bonding wires; forming an encapsulant to cover the semiconductor chip and the metal layer; removing portions of the metal plate not covered by the metal pads so as to form metal pillars; and performing a singulation process. The fabrication method is characterized by disposing traces with bond pads close to the die pad to shorten the bonding wires and forming metal pillars protruding from the dielectric layer to avoid solder bridging.
    Type: Application
    Filed: April 29, 2010
    Publication date: June 30, 2011
    Applicant: SILICONWARE PRECISION INDUSTRIES CO., LTD.
    Inventors: Pang-Chun Lin, Chun-Yuan Li, Chien-Ping Huang, Chun-Chi Ke
  • Publication number: 20110156275
    Abstract: A method for manufacture of an integrated circuit packaging system includes: mounting an integrated circuit, having a planar interconnect, over a carrier with the planar interconnect at a non-active side of the integrated circuit and an active side of the integrated circuit facing the carrier; connecting the integrated circuit and the carrier; connecting the planar interconnect and the carrier; and forming an encapsulation over the integrated circuit, the carrier, and the planar interconnect.
    Type: Application
    Filed: March 7, 2011
    Publication date: June 30, 2011
    Inventors: Reza Argenty Pagaila, Byung Tai Do, Heap Hoe Kuan
  • Publication number: 20110156224
    Abstract: A device that comprises a plurality of circuit elements on a substrate; a shielding element between at least two of the plurality of circuit elements; and a bonding element that electrically connects the shielding element to a grounding circuit of a semiconductor chip that is on the substrate.
    Type: Application
    Filed: November 8, 2010
    Publication date: June 30, 2011
    Applicant: Sony Corporation
    Inventors: Shinji Rokuhara, Shuichi Oka, Katsuji Matsumoto, Shusaku Yanagawa
  • Publication number: 20110159641
    Abstract: The reliability of the semiconductor device which has the structure which stores a plurality of semiconductor chips with which plane sizes differ in the same sealing body in the state where they are accumulated via the insulating film which has adhesive property is improved. In the semiconductor device which has the structure which stores a plurality of semiconductor chips with which plane sizes differ in the same sealing body in the state where they are accumulated via DAF, thickness of DAF of the back surface of the uppermost semiconductor chip with which the control circuit was formed was made thicker than each of DAF of the back surface of the lower layer semiconductor chip with which the memory circuit was formed. Hereby, the defect that the bonding wire which connects the uppermost semiconductor chip and a wiring substrate contacts the main surface corner part of a lower layer semiconductor chip can be reduced.
    Type: Application
    Filed: March 9, 2011
    Publication date: June 30, 2011
    Inventors: Takashi KIKUCHI, Koichi KANEMOTO, Chuichi MIYAZAKI, Toshihiro SHIOTSUKI
  • Publication number: 20110156276
    Abstract: Methods of forming microelectronic structures are described. Embodiments of those methods include attaching a patch structure to an interposer by thermal compression bonding, forming an underfill around an array of interconnect structures disposed on a top surface of the interposer, curing the underfill, and then attaching a die to the patch structure.
    Type: Application
    Filed: December 31, 2009
    Publication date: June 30, 2011
    Inventors: Brent M. Roberts, Mihir K. Roy, Sriram Srninivasan
  • Publication number: 20110156241
    Abstract: Disclosed herein are a package substrate and a method of fabricating the same. The package substrate includes a base part that includes a chip, a mold part surrounding the chip, and a connection unit formed inside the mold part to connect the chip to a terminal part formed on the outer surface of the mold part, and a buildup layer that is formed on one surface of the base part on which the terminal part is formed, including the side surfaces of the base part, but includes a circuit layer connected to the terminal part, thereby making it possible to minimize stress applied to chips during a buildup process and easily replace malfunctioning chips.
    Type: Application
    Filed: February 24, 2010
    Publication date: June 30, 2011
    Inventors: Ju Pyo HONG, Young Do Kweon, Jin Gu Kim, Seung Wook Park, Hee Kon Lee
  • Publication number: 20110147919
    Abstract: Embodiments of the present disclosure provide window ball grid array semiconductor packages. A semiconductor package includes a substrate having (i) a first surface, (ii) a second surface that is opposite to the first surface, and (iii) an opening formed between the first surface of the substrate and the second surface of the substrate. The semiconductor package further includes a semiconductor die having (i) a first surface and (ii) a second surface that is opposite to the first surface, the first surface of the semiconductor die being electrically coupled to the second surface of the substrate by one or more interconnect bumps; one or more bonding wires that electrically couple the first surface of the semiconductor die to the first surface of the substrate through the opening of the substrate; and a first electrically insulative structure disposed to substantially fill an area between the first surface of the semiconductor die, the second surface of the substrate, and the one or more interconnect bumps.
    Type: Application
    Filed: December 15, 2010
    Publication date: June 23, 2011
    Inventor: Sehat Sutardja