Chemical Etching Patents (Class 438/689)
  • Patent number: 8821751
    Abstract: A CMP composition and associated method are provided that afford good corrosion protection and low defectivity levels both during and subsequent to CMP processing. This composition and method are useful in CMP (chemical mechanical planarization) processing in semiconductor manufacture involving removal of metal(s) and/or barrier layer material(s) and especially for CMP processing in low technology node applications.
    Type: Grant
    Filed: June 7, 2011
    Date of Patent: September 2, 2014
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Xiaobo Shi, Ronald Martin Pearlstein
  • Patent number: 8815739
    Abstract: One illustrative device disclosed herein includes at least one fin comprised of a semiconducting material, a layer of gate insulation material positioned adjacent an outer surface of the fin, a gate electrode comprised of graphene positioned on the layer of gate insulation material around at least a portion of the fin, and an insulating material formed on the gate electrode.
    Type: Grant
    Filed: July 10, 2012
    Date of Patent: August 26, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Zoran Krivokapic, Bhagawan Sahu
  • Patent number: 8815658
    Abstract: The present invention provides a method of forming a transistor. The method includes forming a first layer of a first semiconductor material above an insulation layer. The first semiconductor material is selected to provide high mobility to a first carrier type. The method also includes forming a second layer of a second semiconductor material above the first layer of semiconductor material. The second semiconductor material is selected to provide high mobility to a second carrier type opposite the first carrier type. The method further includes forming a first masking layer adjacent the second layer and etching the second layer through the first masking layer to form at least one feature in the second layer. Each feature in the second layer forms an inverted-T shape with a portion of the second layer.
    Type: Grant
    Filed: August 13, 2012
    Date of Patent: August 26, 2014
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Hemant Adhikari, Rusty Harris
  • Patent number: 8815108
    Abstract: A method of depositing a non-continuous coating of a first material on a substrate, comprising: a) the formation of a mask on this substrate, by forming at least two mask layers, and etching of at least one cavity in these layers, this cavity having an outline such that a coating, deposited on the substrate, through the cavities of the mask, has at least one discontinuity over said outline of the cavity; b) the deposition of the first material on the substrate, through the cavities of the mask, the coating thus deposited having at least one discontinuity over the outline of said cavity; and c) the mask is removed.
    Type: Grant
    Filed: April 3, 2008
    Date of Patent: August 26, 2014
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Bruno Remiat, Laurent Vandroux, Florent Souche
  • Patent number: 8815663
    Abstract: A method of manufacturing a TFT, including forming a buffer layer, an amorphous silicon layer, an insulating layer, and a first conductive layer on a substrate, forming a polycrystalline silicon layer by crystallizing the amorphous silicon layer, forming a semiconductor layer, a gate insulating layer, and a gate electrode that have a predetermined shape by simultaneously patterning the polycrystalline silicon layer, the insulating layer, and the first conductive layer, wherein the polycrystalline silicon layer is further etched to produce an undercut recessed a distance compared to sidewalls of the insulating layer and the first conductive layer, forming source and drain regions within the semiconductor layer by doping corresponding portions of the semiconductor layer, forming an interlayer insulating layer on the gate electrode, the interlayer insulating layer covering the gate insulating layer and forming source and drain electrodes that are electrically connected to source and drain regions respectively.
    Type: Grant
    Filed: December 7, 2011
    Date of Patent: August 26, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Byoung-Keon Park, Jong-Ryuk Park, Tak-Young Lee, Jin-Wook Seo, Ki-Yong Lee
  • Patent number: 8815740
    Abstract: A method for forming a pattern according to an embodiment, includes forming above a first film film patterns of a second film; forming film patterns of the first film by etching the first film using the film patterns of the second film as a mask; converting the film patterns of the second film into film patterns whose width are narrower than the film patterns of the first film by performing a slimming process; forming film patterns of a third film on both sidewalls of the film patterns of the first film and the film patterns of the second film after the slimming process; and etching the first film using the film patterns of the third film as a mask after the film patterns of the second film being removed.
    Type: Grant
    Filed: December 4, 2012
    Date of Patent: August 26, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazunori Horiguchi, Takashi Ohashi
  • Patent number: 8815726
    Abstract: A method of manufacturing a semiconductor device including forming a mask layer on a polycrystalline silicon film formed on a semiconductor substrate via an insulating film; forming a dense pattern and a sparse pattern on the mask layer to form a mask; etching the polycrystalline silicon film with the mask by controlling a temperature of the semiconductor substrate placed in an etching chamber at 50 degrees Celsius or higher, supplying an etching gas composed of a hydrogen bromide containing gas and a fluoromethane based gas into the chamber, and generating plasma in the chamber.
    Type: Grant
    Filed: June 20, 2011
    Date of Patent: August 26, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Osamu Miyagawa
  • Patent number: 8815109
    Abstract: A computer implemented method of monitoring a polishing process includes, for each sweep of a plurality of sweeps of an optical sensor across a substrate undergoing polishing, obtaining a plurality of current spectra, each current spectrum of the plurality of current spectra being a spectrum resulting from reflection of white light from the substrate, for each sweep of the plurality of sweeps, determining a difference between each current spectrum and each reference spectrum of a plurality of reference spectra to generate a plurality of differences, for each sweep of the plurality of sweeps, determining a smallest difference of the plurality of differences, thus generating a sequence of smallest difference, and determining a polishing endpoint based on the sequence of smallest differences.
    Type: Grant
    Filed: December 28, 2011
    Date of Patent: August 26, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Boguslaw A. Swedek, Dominic J. Benvegnu, Jeffrey Drue David
  • Publication number: 20140231814
    Abstract: In a thin film transistor array panel and a method of manufacturing the same, a thin passivation layer is positioned between a first field generating electrode and a second field generating electrode. The thin passivation layer overlaps the first and second field generating electrodes. The thin passivation layer includes a transparent photosensitive organic material. When forming the first field generating electrode, the passivation layer is used as a photosensitive film. Accordingly, the passivation layer and the first field generating electrode may be formed using a same single photo-mask. Accordingly, the manufacturing cost of the thin film transistor array panel may be reduced.
    Type: Application
    Filed: February 14, 2014
    Publication date: August 21, 2014
    Applicant: SAMSUNG DISPLAY CO., LTD.
    Inventors: EU GENE LEE, MIN-CHUL SONG, SUNG MAN KIM, YOUNG JE CHO, HWA YEUL OH, HYUN KI HWANG
  • Publication number: 20140235034
    Abstract: The present invention provides a method for plasma dicing a substrate. The method comprising providing a process chamber having a wall; providing a plasma source adjacent to the wall of the process chamber; providing a work piece support within the process chamber; placing the substrate onto a support film on a frame to form a work piece work piece; loading the work piece onto the work piece support; providing a clamping electrode for electrostatically clamping the work piece to the work piece support; providing a mechanical partition between the plasma source and the work piece; generating a plasma through the plasma source; and etching the work piece through the generated plasma.
    Type: Application
    Filed: March 6, 2013
    Publication date: August 21, 2014
    Applicant: Plasma-Therm LLC
    Inventors: David Pays-Volard, Linnell Martinez, Chris Johnson, David Johnson, Russell Westerman, Gordon M. Grivna
  • Patent number: 8808787
    Abstract: The formation in quantity of various different populations of a substance being studied with multiple combinations of distribution form and distribution density by dripping a suspension of a single concentration of the substance onto a masking member of a certain specified structure placed on a substrate by making use of the sedimentation of said substance.
    Type: Grant
    Filed: August 20, 2009
    Date of Patent: August 19, 2014
    Assignee: Japan Science and Technology Agency
    Inventors: Koji Ikuta, Masashi Ikeuchi
  • Patent number: 8802571
    Abstract: A method for etching features into a silicon based etch layer through a patterned hard mask in a plasma processing chamber is provided. A silicon sputtering is provided to sputter silicon from the silicon based etch layer onto sidewalls of the patterned hard mask to form sidewalls on the patterned hard mask. The etch layer is etched through the patterned hard mask.
    Type: Grant
    Filed: July 28, 2011
    Date of Patent: August 12, 2014
    Assignee: Lam Research Corporation
    Inventors: Wonchul Lee, Qian Fu
  • Patent number: 8801951
    Abstract: In a plasma processing method for conducting etching on an object to be processed by generating plasma from depositional gas introduced into a processing chamber and exposing the object to be processed to the plasma in a state in which radio frequency power is applied, the object to be processed is etched under etching conditions that a deposit film on an inner wall of the processing chamber becomes amorphous by repeating a first period during which the object to be processed is exposed to plasma and a second period during which the object to be processed is exposed to plasma and an etching rate is lower as compared with the first period. Consequently, particles due to increase in the number of processed sheets of the object to be processed can be suppressed.
    Type: Grant
    Filed: August 16, 2011
    Date of Patent: August 12, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yoshiharu Inoue, Michikazu Morimoto, Tsuyoshi Matsumoto, Tetsuo Ono, Tadamitsu Kanekiyo, Mamoru Yakushiji, Masakazu Miyaji
  • Patent number: 8802568
    Abstract: In a method for manufacturing a chemical sensor with multiple sensor cells, a substrate is provided and an expansion inhibitor is applied to the substrate for preventing a sensitive material to be applied to an area on the substrate for building a sensitive film of a sensor cell to expand from said area. The sensitive material is provided and the sensitive film is built by contactless dispensing the sensitive material to said area.
    Type: Grant
    Filed: September 27, 2012
    Date of Patent: August 12, 2014
    Assignee: Sensirion AG
    Inventors: Felix Mayer, Markus Graf, Lukas Burgi
  • Patent number: 8796134
    Abstract: Methods of forming integrated circuit devices include forming first and second electrically conductive lines at side-by-side locations on an integrated circuit substrate. Steps are performed to selectively etch each of the first and second electrically conductive lines into a respective pair of interconnects having facing ends that are separated from each other. This selective etching step is performed using a photolithography mask having a modified-rectangular mask pattern thereon, which is configured to define a shape of the facing ends of each of the pair of interconnects.
    Type: Grant
    Filed: February 15, 2012
    Date of Patent: August 5, 2014
    Assignees: Samsung Electronics Co., Ltd., International Business Machines Corporation
    Inventors: Chang-Hwa Kim, Ryan L. Burns
  • Patent number: 8796145
    Abstract: A method of manufacturing a metal-base substrate having an insulative adhesive layer and a conductor layer on a metal-based material is provided. The method includes the steps of dispersing a disperse phase in an insulative adhesive-dispersing medium that contains a wetting dispersant and constitutes the insulative adhesive layer; laminating step of laminating the insulative adhesive on the conductor foil as feeding the roll-shaped conductor foil; curing the insulative adhesive on the conductor foil under heat into a B stage state and thus forming a composite of the conductor foil and the insulative adhesive layer in the B stage state; laminating the metal-based material on the insulative adhesive layer in the B stage state to give a laminate; and then curing the insulative adhesive layer in the B stage state into a C stage state by heat pressurization of the laminate.
    Type: Grant
    Filed: April 6, 2011
    Date of Patent: August 5, 2014
    Assignee: Denki Kagaku Kogyo Kabushiki Kaisha
    Inventors: Taiki Nishi, Takeshi Miyakawa, Katsunori Yashima, Kensuke Okoshi, Hidenori Ishikura
  • Patent number: 8796157
    Abstract: Method of selectively etching a first material on a substrate with a high selectivity towards a second material by flowing a liquid etchant across a substrate surface at a flow sufficiently fast to generate a minimum mean velocity parallel to the substrate's surface, wherein the first material is selected from a group including materials with semiconducting properties based on at least two different chemical elements.
    Type: Grant
    Filed: September 1, 2005
    Date of Patent: August 5, 2014
    Assignee: Lam Research AG
    Inventor: Gerald Wagner
  • Publication number: 20140213054
    Abstract: An exposing method includes irradiating a first light having a first energy to a first exposed region of a photoresist film through a first shot region of a mask, and irradiating a second light having a second energy to the first exposed region of the photoresist film through a second shot region of the mask.
    Type: Application
    Filed: January 29, 2014
    Publication date: July 31, 2014
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: In-Sung KIM, Chang-Min PARK, Jeong-Ho YEO
  • Publication number: 20140213055
    Abstract: A semiconductor manufacturing device includes a stage, a plurality of pins, and a driving unit. The stage includes a mounting surface. The mounting surface has a first region for mounting thereon a substrate, and a second region for mounting thereon a focus ring. The second region is provided to surround the first region. A plurality of holes is formed in the stage. The holes extend in a direction that intersects the mounting surface while passing through the boundary between the first region and the second region. The pins are provided in the respective holes. Each of the pins has a first and a second upper end surface. The second. upper end surface is provided above the first upper end surface, and is offset towards the first region with respect to the first upper end surface. The driving unit moves the pins up and down in the aforementioned direction.
    Type: Application
    Filed: August 13, 2012
    Publication date: July 31, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shinji Himori, Yoshiyuki Kobayashi, Takehiro Kato, Etsuji Ito
  • Patent number: 8790531
    Abstract: A high purity, non-toxic, environmentally friendly method for anisotropically etching single crystal silicon and etching polysilicon, suitable for microelectronics, optoelectronics and microelectromechanical (MEMS) device fabrication, using high purity aqueous ammonium hydroxide (NH4OH) solution generated at the point of use, is presented. The apparatus of the present invention supports generation of high purity aqueous NH4OH solution from ammonia NH3 gas dissolved into distilled/deionized water and maintained in equilibrium with an overpressure of NH3, within a hermetically enclosed chamber at the optimal temperature between 70-90° C., preventing evaporation of NH3 gas from aqueous NH4OH solution for achieving a high anisotropic etching rate. Other liquid anisotropic etching methods for silicon may use tetramethylammonium hydroxide (TMAH).
    Type: Grant
    Filed: July 8, 2011
    Date of Patent: July 29, 2014
    Inventor: Alvin Gabriel Stern
  • Patent number: 8790470
    Abstract: Provided herein are etching, cleaning and drying methods using a supercritical fluid, and a chamber system for conducting the same. The etching method includes etching the material layer using a supercritical carbon dioxide in which an etching chemical is dissolved, and removing an etching by-product created from a reaction between the material layer and the etching chemical using a supercritical carbon dioxide in which a cleaning chemical is dissolved. Methods of manufacturing a semiconductor device are also provided.
    Type: Grant
    Filed: December 15, 2011
    Date of Patent: July 29, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyo-san Lee, Chang-Ki Hong, Kun-Tack Lee, Woo-Gwan Shim, Jeong-Nam Han, Jung-Min Oh, Kwon-Taek Lim, Ha-Soo Hwang, Haldorai Yuvaraj, Jae-Mok Jung
  • Patent number: 8790527
    Abstract: A method for providing waveguide structures for an energy assisted magnetic recording (EAMR) transducer is described. The waveguide structures have a plurality of widths. At least one waveguide layer is provided. Mask structure(s) corresponding to the waveguide structures and having a pattern are provided on the waveguide layer(s). The mask structure(s) include a planarization stop layer, a planarization assist layer on the planarization stop layer, and a hard mask layer on the planarization assist layer. The planarization assist layer has a low density. The pattern of the mask structure(s) is transferred to the waveguide layer(s). Optical material(s) that cover the waveguide layer(s) and a remaining portion of the mask structure(s) are provided. The optical material(s) have a density that is at least twice the low density of the planarization assist layer. The method also includes performing a planarization configured to remove at least a portion of the optical material(s).
    Type: Grant
    Filed: March 22, 2011
    Date of Patent: July 29, 2014
    Assignee: Western Digital (Fremont), LLC
    Inventors: Guanghong Luo, Ming Jiang, Danning Yang, Yunfei Li
  • Patent number: 8790994
    Abstract: It is an object of the present invention to reduce the cost of a wireless chip, further, to reduce the cost of a wireless chip by enabling the mass production of a wireless chip, and furthermore, to provide a downsized and lightweight wireless chip. A wireless chip in which a thin film integrated circuit peeled from a glass substrate or a quartz substrate is formed between a first base material and a second base material is provided according to the invention. As compared with a wireless chip formed from a silicon substrate, the wireless chip according to the invention realizes downsizing, thinness, and lightweight. The thin film integrated circuit included in the wireless chip according to the invention at least has an n-type thin film transistor having an LDD (Lightly Doped Drain) structure, a p-type thin film transistor having a single drain structure, and a conductive layer functioning as an antenna.
    Type: Grant
    Filed: August 28, 2012
    Date of Patent: July 29, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Koji Dairiki, Junya Maruyama, Tomoko Tamura, Eiji Sugiyama, Yoshitaka Dozen
  • Publication number: 20140206193
    Abstract: A pattern having exceptionally small features is printed on a partially fabricated integrated circuit during integrated circuit fabrication. The pattern is printed using an array of probes, each probe having: 1) a photocatalytic nanodot at its tip; and 2) an individually controlled light source. The surface of the partially fabricated integrated circuit comprises a photochemically active species. The active species undergoes a chemical change when contacted by the nanodot, when the nanodot is illuminated by light. To print a pattern, each probe raster-scans its associated nanodot across the surface of the partially fabricated integrated circuit. When the nanodot reaches a desired location, the nanodot is illuminated by the light source, catalyzing a change in the reactive species and, thus, printing at that location. Subsequently, reacted or unreacted species are selectively removed, thereby forming a mask pattern over the partially fabricated integrated circuit.
    Type: Application
    Filed: March 24, 2014
    Publication date: July 24, 2014
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Gurtej S. Sandhu
  • Patent number: 8778802
    Abstract: A polishing method includes causing a polishing pad arranged on a turn table to rotate together with the turn table, and polishing a surface of a substrate by using the rotating polishing pad while supplying a chemical fluid to a surface of the polishing pad on a fore side of the substrate from an oblique direction with respect to the surface of the polishing pad.
    Type: Grant
    Filed: May 23, 2007
    Date of Patent: July 15, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Dai Fukushima, Gaku Minamihaba, Hiroyuki Yano
  • Patent number: 8778205
    Abstract: The present invention is a processing method including a processing step of performing predetermined processing for a workpiece; an unnecessary portion removal step of removing an unnecessary portion produced on a surface of the workpiece due to the predetermined processing; and a surface structure evaluation step of evaluating a surface structure of the workpiece from which the unnecessary portion has been removed by the unnecessary portion removal step.
    Type: Grant
    Filed: October 14, 2009
    Date of Patent: July 15, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Tsuyoshi Ohno, Toshihiko Kikuchi, Machi Moriya, Yoshitaka Saita
  • Patent number: 8778803
    Abstract: Disclosed is a CMP slurry for silicon film polishing, comprising abrasive grains, an oxidizing agent, a cationic surfactant, and water. This CMP slurry is suitable for the CMP step of a silicon film of semiconductor devices, since it enables to obtain excellent planarity and excellent performance of controlling the remaining film thickness, while improving the yield and reliability of the semiconductor devices. This CMP slurry also enables to reduce the production cost.
    Type: Grant
    Filed: June 6, 2008
    Date of Patent: July 15, 2014
    Assignee: Hitachi Chemical Company, Ltd.
    Inventor: Takenori Narita
  • Patent number: 8778211
    Abstract: The present invention provides chemical-mechanical polishing (CMP) compositions suitable for polishing a substrate comprising a germanium-antimony-tellurium (GST) alloy. The CMP compositions of the present invention are aqueous slurries comprising a particulate abrasive, a water-soluble surface active agent, a complexing agent, and a corrosion inhibitor. The ionic character of the surface active material (e.g., cationic, anionic, or nonionic) is selected based on the zeta potential of the particulate abrasive. A CMP method for polishing a GST alloy-containing substrate utilizing the composition is also disclosed.
    Type: Grant
    Filed: July 17, 2012
    Date of Patent: July 15, 2014
    Assignee: Cabot Microelectronics Corporation
    Inventors: Matthias Stender, Glenn Whitener, Chul Woo Nam
  • Publication number: 20140191388
    Abstract: A 3D stacking semiconductor device and a manufacturing method thereof are provided. The manufacturing method includes the following steps. N layers of stacking structures are provided. Each stacking structure includes a conductive layer and an insulating layer. A first photoresister layer is provided. The stacking structures are etched P?1 times by using the first photoresister layer as a mask. A second photoresister layer is provided. The stacking structures are etched Q?1 times by using the second photoresister layer as a mask. The first photoresister layer is trimmed along a first direction. The second photoresister layer is trimmed along a second direction. The first direction is different from the second direction. A plurality of contact points are arranged along the first and the second directions in a matrix. The included angle between the first direction and the second direction is an acute angle.
    Type: Application
    Filed: January 8, 2013
    Publication date: July 10, 2014
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventor: Shih-Hung Chen
  • Patent number: 8772172
    Abstract: A semiconductor device manufacturing method includes a plasma etching step for etching an etching target film formed on a substrate accommodated in a processing chamber. In the plasma etching step, a processing gas including a gaseous mixture containing predetermined gases is supplied into the processing chamber, and a cycle including a first step in which a flow rate of at least one of the predetermined gases is set to a first value during a first time period and a second step in which the flow rate thereof is set to a second value that is different from the first value during a second time period is repeated consecutively at least three times without removing a plasma. The first time period and the second time period are set to about 1 to 15 seconds.
    Type: Grant
    Filed: July 22, 2013
    Date of Patent: July 8, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Masato Kushibiki, Eiichi Nishimura
  • Patent number: 8771534
    Abstract: Some embodiments relate to a method for processing a workpiece. In the method, an anti-reflective coating layer is provided over the workpiece. A first patterned photoresist layer, which has a first photoresist tone, is provided over the anti-reflective coating layer. A second patterned photoresist layer, which has a second photoresist tone opposite the first photoresist tone, is provided over the first patterned photoresist layer. An opening extends through the first and second patterned photoresist layers to allow a treatment to be applied to the workpiece through the opening. Other embodiments are also disclosed.
    Type: Grant
    Filed: January 13, 2012
    Date of Patent: July 8, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chun-Chang Chen, Shih-Chi Fu, Wang-Pen Mo, Hung-Chang Hsieh
  • Publication number: 20140187041
    Abstract: Provided are methods for processing semiconductor substrates to remove high-dose ion implanted (HDI) photoresist structures without damaging other structures made of titanium nitride, tantalum nitride, hafnium oxide, and/or hafnium silicon oxide. The removal is performed using a mixture of an organic solvent, an oxidant, a metal-based catalyst, and one of a base or an acid. Some examples of suitable organic solvents include dimethyl sulfoxide, n-ethyl pyrrolidone, monomethyl ether, and ethyl lactate. Transition metals in their zero-oxidation state, such as metallic iron or metallic chromium, may be used as catalysts in this mixture. In some embodiments, a mixture includes ethyl lactate, of tetra-methyl ammonium hydroxide, and less than 1% by weight of the metal-based catalyst. The etching rate of the HDI photoresist may be at least about 100 Angstroms per minute, while other structures may remain substantially intact.
    Type: Application
    Filed: December 27, 2012
    Publication date: July 3, 2014
    Applicant: INTERMOLECULAR INC.
    Inventor: Intermolecular Inc.
  • Patent number: 8765001
    Abstract: Monocrystalline semiconductor substrates are textured with alkaline solutions to form pyramid structures on their surfaces to reduce incident light reflectance and improve light absorption of the wafers. The alkaline baths include hydantoin compounds and derivatives thereof in combination with alkoxylated glycols to inhibit the formation of flat areas between pyramid structures to improve the light absorption.
    Type: Grant
    Filed: August 28, 2012
    Date of Patent: July 1, 2014
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Michael P. Toben, Robert K. Barr, Corey O'Connor
  • Patent number: 8765614
    Abstract: A method of forming a metal pattern on a display substrate includes blanket depositing a copper-based layer having a thickness between about 1,500 ? and about 5,500 ? on a base substrate, and forming a patterned photoresist layer on the copper-based layer. The copper-based layer is over-etched by an etching composition containing an oxidizing moderating agent where the over-etch factor is between about 40% and about 200% while using the patterned photoresist layer as an etch stopping layer, and where the etching composition includes ammonium persulfate between about 0.1% by weight and about 50% by weight, includes an azole-based compound between about 0.01% by weight and about 5% by weight and a remainder of water. Thus, reliability of the metal pattern and that of manufacturing a display substrate may be improved.
    Type: Grant
    Filed: February 27, 2012
    Date of Patent: July 1, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jong-Hyun Choung, Ji-Young Park, Seon-Il Kim, Sang-Gab Kim, In-Bae Kim, Jae-Woo Jeong
  • Patent number: 8765606
    Abstract: Methods are provided for producing a pristine hydrogen-terminated silicon wafer surface with high stability against oxidation. The silicon wafer is treated with high purity, heated dilute hydrofluoric acid with anionic surfactant, rinsed in-situ with ultrapure water at room temperature, and dried. Alternatively, the silicon wafer is treated with dilute hydrofluoric acid, rinsed with hydrogen gasified water, and dried. The silicon wafer produced by the method is stable in a normal clean room environment for greater than 3 days and has been demonstrated to last without significant oxide regrowth for greater than 8 days.
    Type: Grant
    Filed: October 14, 2008
    Date of Patent: July 1, 2014
    Assignee: ASM America, Inc.
    Inventor: Robert H. Pagliaro, Jr.
  • Patent number: 8764997
    Abstract: A method of metal deposition may include chemically modifying a surface of a substrate to make the surface hydrophobic. The method may further include depositing a layer of metal over the hydrophobic surface and masking at least a portion of the deposited metal layer to define a conductive metal structure. The method may also include using an etching agent to etch unmasked portions of the deposited metal layer.
    Type: Grant
    Filed: July 13, 2010
    Date of Patent: July 1, 2014
    Assignee: STMicroelectronics S.R.L.
    Inventors: Fabrizio Porro, Luigi Giuseppe Occhipinti
  • Patent number: 8764999
    Abstract: A method for patterning a substrate is described. The patterning method may include performing a lithographic process to produce a pattern and a critical dimension (CD) slimming process to reduce a CD in the pattern to a reduced CD. Thereafter, the pattern is doubled to produce a double pattern using a sidewall image transfer technique.
    Type: Grant
    Filed: June 13, 2011
    Date of Patent: July 1, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shannon W. Dunn, Dave Hetzer
  • Publication number: 20140179106
    Abstract: A method for forming devices in an oxide layer over a substrate, wherein a metal containing layer forms at least either an etch stop layer below the oxide layer or a patterned mask above the oxide layer, wherein a patterned organic mask is above the oxide layer is provided. The substrate is placed in a plasma processing chamber. The oxide layer is etched through the patterned organic mask, wherein metal residue from the metal containing layer forms metal residue on sidewalls of the oxide layer. The patterned organic mask is stripped. The metal residue is cleaned by the steps comprising providing a cleaning gas comprising BCl3 and forming a plasma from the cleaning gas. The substrate is removed from the plasma processing chamber.
    Type: Application
    Filed: December 21, 2012
    Publication date: June 26, 2014
    Applicant: Lam Research Corporation
    Inventors: Qinghua ZHONG, Yifeng ZHOU, Ming-Shu KUO, Armen KIRAKOSIAN, Siyi LI, Srikanth RAGHAVAN, Ramkumar VINNAKOTA, Yoshie KIMURA, Tae Won KIM, Gowri KAMARTHY
  • Patent number: 8759217
    Abstract: A method forms interlayer connectors extending to conductive layers of a stack of W conductive layers interleaved with dielectric layers. The stack is etched to expose landing areas at W?1 conductive layers using a set of M etch masks. For each etch mask m, m going from 0 to M?1, there is a first etching step, at least one mask trimming step, and a subsequent etching step following each trimming step. The etch mask may cover Nm+1 of the landing areas and the open etch region may cover Nm of the landing areas. N equals 2 plus the number of trimming steps. The trimming step may be carried out so that the increased size open etch region overlies an additional 1/N of the landing areas. Part of the stack surface may be shielded during the removing step to create dummy areas without contact openings.
    Type: Grant
    Filed: January 7, 2013
    Date of Patent: June 24, 2014
    Assignee: Macronix International Co., Ltd.
    Inventor: Shih-Hung Chen
  • Patent number: 8759216
    Abstract: The present invention provides a method for polishing silicon nitride-containing substrates. The method comprises abrading a surface of a silicon nitride substrate with a polishing composition, which comprises colloidal silica, at least one acidic component, and an aqueous carrier. The at least one acidic component has a pKa in the range of about 1 to 4.5. The composition has a pH in the range of about 0.5 pH units less than the pKa of the at least one acidic component to about 1.5 pH units greater than the pKa.
    Type: Grant
    Filed: June 7, 2006
    Date of Patent: June 24, 2014
    Assignee: Cabot Microelectronics Corporation
    Inventors: Jeffrey Dysard, Sriram Anjur, Timothy Johns, Zhan Chen
  • Patent number: 8759219
    Abstract: A planarization method of manufacturing a semiconductor component is provided. A dielectric layer is formed above a substrate and defines a trench therein. A barrier layer and a metal layer are formed in sequence in the trench. A first planarization process is applied to the metal layer by using a first reactant so that a portion of the metal layer is removed. An etching rate of the first reactant to the metal layer is greater than that of the first reactant to the barrier layer. A second planarization process is applied to the barrier layer and the metal layer by using a second reactant so that a portion of the barrier layer and the metal layer are removed to expose the dielectric layer. An etching rate of the second reactant to the barrier layer is greater than that of the second reactant to the metal layer.
    Type: Grant
    Filed: January 24, 2011
    Date of Patent: June 24, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Ya-Hsueh Hsieh, Teng-Chun Tsai, Wen-Chin Lin, Hsin-Kuo Hsu, Ren-Peng Huang, Chih-Hsien Chen, Chih-Chin Yang, Hung-Yuan Lu, Jen-Chieh Lin, Wei-Che Tsao
  • Publication number: 20140167045
    Abstract: A test pattern for testing a trench POLY over-etched step is provided. The test pattern is a trench (14) formed on a substrate (1); the trench (14) comprises a bottom surface and two side surfaces extending from the bottom surface; the trench (14) is formed on the substrate (1) with a preset angle of non-90° formed between the longitudinal direction (L) thereof and the longitudinal direction (X) of a wafer scribing trench. The test pattern can extend the scanning length of a step scanning equipment without changing the width of the trench.
    Type: Application
    Filed: June 7, 2012
    Publication date: June 19, 2014
    Applicants: CSMC TECHNOLOGIES FAB2 CO., LTD., CSMC TECHNOLOGIES FAB1 CO., LTD.
    Inventor: Zheng Bian
  • Patent number: 8753981
    Abstract: Microelectronic devices with through-silicon vias and associated methods of manufacturing such devices. One embodiment of a method for forming tungsten through-silicon vias comprising forming an opening having a sidewall such that the opening extends through at least a portion of a substrate on which microelectronic structures have been formed. The method can further include lining the sidewall with a dielectric material, depositing tungsten on the dielectric material such that a cavity extends through at least a portion of the tungsten, and filling the cavity with a polysilicon material.
    Type: Grant
    Filed: April 22, 2011
    Date of Patent: June 17, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Kyle K. Kirby, Kunal R. Parekh, Philip J. Ireland, Sarah A. Niroumand
  • Patent number: 8753930
    Abstract: A method of manufacturing a semiconductor device comprises placing a semiconductor substrate in an ashing chamber, the semiconductor substrate having a gate, a silicon nitride gate sidewall offset spacer or a silicon nitride gate sidewall pacer formed thereon, and a photo resist residue remaining on the semiconductor substrate, introducing a gas mixture including D2 or T2 into the ashing chamber, and ashing the photo resist residue using a plasma that is formed from the gas mixture. The gas mixture can include a deuterium gas or a tritium gas having a volume ratio ranging between about 1% and about 20%. Embodiments can reduce Si recess and the loss of silicon nitride thin film during ashing.
    Type: Grant
    Filed: December 14, 2011
    Date of Patent: June 17, 2014
    Assignee: Semiconductor Manufacturing (Shanghai) Corporation
    Inventors: Xiaoying Meng, Junqing Zhou, Haiyang Zhang
  • Patent number: 8748319
    Abstract: Embodiments of the invention may provide a method of printing one or more print tracks on a print support, or substrate, comprising two or more printing steps in each of which a layer of material is deposited on the print support according to a predetermined print profile. In each printing step, subsequent to the first step, each layer of material is deposited at least partially on top of the layer of material printed in the preceding printing step, so that each layer of printed material has an identical or different print profile with respect to at least a layer of material underneath. The method may further comprise depositing material in each printing step that is equivalent to or different from the material deposited in at least one of other the print layers.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: June 10, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Marco Galiazzo, Andrea Baccini, Giorgio Cellere, Luigi De Santi, Gianfranco Pasqualin, Tommaso Vercesi
  • Patent number: 8748315
    Abstract: The present disclosure relates to a method of forming a back-side illuminated CMOS image sensor (BSI CIS). In some embodiments, the method comprises forming a plurality of photodetectors within a front-side of a semiconductor substrate. An implant is performed on the back-side of the semiconductor substrate to form an implantation region having a doping concentration that is greater in the center than at the edges of the semiconductor substrate. The back-side of the workpiece is then exposed to an etchant, having an etch rate that is inversely proportional to the doping concentration, which thins the semiconductor substrate to a thickness that allows for light to pass through the back-side of the substrate to the plurality of photodetectors. By implanting the substrate prior to etching, the etching rate is made uniform over the back-side of the substrate improving total thickness variation between the photodetectors and the back-side of the substrate.
    Type: Grant
    Filed: February 15, 2012
    Date of Patent: June 10, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: En-Ting Lee, Kun-El Chen, Yu-Sheng Wang, Chien-Chung Chen, Huai-Tei Yang
  • Patent number: 8747687
    Abstract: An aqueous CMP agent, comprising (A) solid polymer particles interacting and forming strong complexes with the metal of the surfaces to be polished; (B) a dissolved organic non-polymeric compound interacting and forming strong, water-soluble complexes with the metal and causing an increase of the material removal rate MRR and the static etch rate SER with increasing concentration of the compound (B); and (C) a dissolved organic non-polymeric compound interacting and forming slightly soluble or insoluble complexes with the metal, which complexes are capable of being adsorbed by the metal surfaces, and causing a lower increase of the MRR than the compound (B) and a lower increase of the SER than the compound (B) or no increase of the SER with increasing concentration of the compound (C); a CMP process comprising selecting the components (A) to (C) and the use of the CMP agent and process for polishing wafers with ICs.
    Type: Grant
    Filed: April 19, 2010
    Date of Patent: June 10, 2014
    Assignee: BASF SE
    Inventors: Vijay Immanuel Raman, Ilshat Gubaydullin, Yuzhuo Li, Mario Brands, Yongqing Lan
  • Patent number: 8748318
    Abstract: The invention includes methods of forming reticles configured for imprint lithography, methods of forming capacitor container openings, and methods in which capacitor container openings are incorporated into DRAM arrays. An exemplary method of forming a reticle includes formation of a radiation-imageable layer over a material. A lattice pattern is then formed within the radiation-imageable layer, with the lattice pattern defining a plurality of islands of the radiation-imageable layer. The lattice-patterned radiation-imageable layer is utilized as a mask while subjecting the material under the lattice-patterned layer to an etch which transfers the lattice pattern into the material. The etch forms a plurality of pillars which extend only partially into the material, with the pillars being spaced from one another by gaps. The gaps are subsequently narrowed with a second material which only partially fills the gaps.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: June 10, 2014
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Patent number: 8747688
    Abstract: The present invention provides a method of easily chamfering and polishing an inner peripheral face and an outer peripheral face of a glass disk at low cost. By continuously supplying fresh etchants to an inner peripheral face and an outer peripheral face of a glass disk stacked body in which a plurality of glass disks are stacked, the inner and outer peripheral faces are polished.
    Type: Grant
    Filed: September 19, 2007
    Date of Patent: June 10, 2014
    Assignee: Konica Minolta, Inc.
    Inventor: Hideki Kawai
  • Patent number: 8747689
    Abstract: There are provided a liquid processing method and a liquid processing apparatus capable of providing a high etching rate and a high etching selectivity for silicon nitride against silicon oxide, and a storage medium storing the method thereon. In the method for etching, by an etching solution, a substrate on which silicon nitride and silicon oxide are exposed, the etching solution is produced by mixing a fluorine ion source material, water and a boiling point adjusting agent; the produced etching solution is heated to a substrate processing temperature equal to or higher than 140° C.; after a temperature of the etching solution reaches the substrate processing temperature, the temperature of the etching solution is maintained at the substrate processing temperature for a first preset time; and after a lapse of the first preset time, the substrate is etched by the etching solution maintained at the substrate processing temperature.
    Type: Grant
    Filed: January 23, 2012
    Date of Patent: June 10, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Hiroki Ohno, Takehiko Orii