Chemical Or Electrical Treatment, E.g., Electrolytic Etching (epo) Patents (Class 257/E21.215)
  • Publication number: 20110117719
    Abstract: A method of processing a semiconductor substrate in forming scribe line alignment marks includes forming pitch multiplied non-circuitry features within scribe line area of a semiconductor substrate. Individual of the features, in cross-section, have a maximum width which is less than a minimum photolithographic feature dimension used in lithographically patterning the substrate. Photoresist is deposited over the features. Such is patterned to form photoresist blocks that are individually received between a respective pair of the features in the cross-section. Individual of the features of the respective pairs have a laterally innermost sidewall in the cross-section. Individual of the photoresist blocks have an opposing pair of first pattern edges in the cross-section that are spaced laterally inward of the laterally innermost sidewalls of the respective pair of the features.
    Type: Application
    Filed: November 19, 2009
    Publication date: May 19, 2011
    Inventors: William R. Brown, David Kewley, Adam Olson
  • Publication number: 20110108921
    Abstract: A method for forming a complementary metal oxide semiconductor device includes forming a first capping layer on a dielectric layer, blocking portions in the capping layer in regions where the capping layer is to be preserved using a block mask. Exposed portions of the first capping layer are intermixed with the dielectric layer to form a first intermixed layer. The block mask is removed. The first capping layer and the first intermixed layer are etched such that the first capping layer is removed to re-expose the dielectric layer in regions without removing the first intermixed layer.
    Type: Application
    Filed: November 12, 2009
    Publication date: May 12, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: SIVANANDA KANAKASABAPATHY, Hemanth Jagannathan, Matthew Copel
  • Publication number: 20110111600
    Abstract: A method of processing a SOI substrate to form a groove in the SOI substrate in which a silicon layer is stacked on both sides of an oxide layer is disclosed. In accordance with an embodiment of the present invention, the method includes dividing a portion of the silicon layer, in which the groove is to be processed, into a plurality of unit portions, performing dry etching on certain portions of the plurality of divided unit portions such that the oxide layer is exposed and removing remaining portions of the plurality of divided unit portions by removing the oxide layer.
    Type: Application
    Filed: April 19, 2010
    Publication date: May 12, 2011
    Inventors: Chung-Mo Yang, Jae-Woo Joung, Young-Seuck Yoo
  • Publication number: 20110111592
    Abstract: A method for fabrication of features of an integrated circuit and device thereof include patterning a first structure on a surface of a semiconductor device and forming spacers about a periphery of the first structure. An angled ion implantation is applied to the device such that the spacers have protected portions and unprotected portions from the angled ion implantation wherein the unprotected portions have an etch rate greater than an etch rate of the protected portions. The unprotected portions and the first structure are selectively removed with respect to the protected portions. A layer below the protected portions of the spacer is patterned to form integrated circuit features.
    Type: Application
    Filed: November 9, 2009
    Publication date: May 12, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: KANGGUO CHENG, Bruce B. Doris, Ying Zhang
  • Publication number: 20110097900
    Abstract: A five-sided quartz window configured to be mounted on a degas chamber as a UV-transmissive window. The quartz window is made of synthetic quartz and has a uniform thickness. The shape of the quartz window is defined by an upper surface, a lower surface and a sidewall therebetween. The sidewall has five straight sections interconnected by five arcuate sections. The quartz window has four arcuate recesses extending into the sidewall.
    Type: Application
    Filed: October 28, 2009
    Publication date: April 28, 2011
    Applicant: Lam Research Corporation
    Inventors: Jason Augustino, Tim Hart
  • Patent number: 7928520
    Abstract: A microfabricated structure that includes a first layer of material on a substrate, and a second layer of material over the first layer that forms an encapsulated cavity, and a structural support layer added to the second layer. Openings can be formed in the cavity, and the cavities can be layered side by side, vertically stacked with interconnections via the openings, and a combination of both can be used to construct stacked arrays with interconnections throughout.
    Type: Grant
    Filed: January 12, 2010
    Date of Patent: April 19, 2011
    Assignee: STMicroelectronics, Inc.
    Inventors: Frank Bryant, Murray Robinson
  • Patent number: 7928012
    Abstract: A stylus, an integrated circuit (IC) and method of forming the IC. The stylus extends upward from its apex and has a substantially circular cross section that decreases in diameter upward from the apex. The stylus is formed in a mold that may be formed in an orifice in a dielectric layer between wiring layers. The mold may include multiple concentric layers. For a more pronounced, non-linear stylus taper, each layer may be thinner than its next adjacent outer concentric layer.
    Type: Grant
    Filed: July 28, 2009
    Date of Patent: April 19, 2011
    Assignee: International Business Machines Corporation
    Inventors: David V. Horak, Chung H. Lam
  • Publication number: 20110086495
    Abstract: Methods for fabricating semiconductor devices are provided. The methods include providing a semiconductor substrate having pFET and nFET regions, each having active areas and shallow trench isolation. A hardmask layer is formed overlying the semiconductor substrate. A photoresist layer is provided over the hardmask layer. The phoresist layer is patterned. An exposed portion of the hardmask layer is removed from one of the pFET region and nFET region with the patterned photoresist acting as an etch mask to define a masked region and an unmasked region. An epitaxial silicon layer is formed on the active area in the unmasked region. A protective oxide layer is formed overlying the epitaxial silicon layer. The hardmask layer is removed from the masked region with the protective oxide layer protecting the epitaxial silicon layer during such removal step. The protective oxide layer is removed from the epitaxial silicon layer.
    Type: Application
    Filed: October 12, 2009
    Publication date: April 14, 2011
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Rohit PAL, Janice MONZET
  • Publication number: 20110081785
    Abstract: The present disclosure relates to a solution for selectively removing metal, such as Ta or TaN, from a substrate, such as an aluminum containing substrate. The solution comprises an acid, such as HF or buffered HF, an ingredient comprising a fluorine ion, such as ammonium fluoride (NH4F), ethylene glycol, and water. A method of selectively removing metal from a substrate using this solution is also disclosed.
    Type: Application
    Filed: December 7, 2010
    Publication date: April 7, 2011
    Inventors: Jeremy W. Epton, John Deem
  • Publication number: 20110076848
    Abstract: A seal (40) for sealing an interface between a container and a lid of a process chamber. The seal (40) comprises a metallic or polymeric sealing element (50) and an elastomeric sealing element (60) that are arranged to seal the interface in series, with the metallic or polymeric sealing element (50) being situated to encounter processing activity upstream of the elastomeric sealing element (60).
    Type: Application
    Filed: June 30, 2010
    Publication date: March 31, 2011
    Inventors: Amitava Datta, Peter G. Amos, Dominick G. More, Kenneth W. Cornett, Jeremy Payne
  • Publication number: 20110073998
    Abstract: Embodiments of semiconductor devices are provided. In one embodiment, the semiconductor device includes a substrate, an etch stop layer formed on the substrate, an adhesion promotion layer formed directly on the etch stop layer, and a dielectric layer formed directly on the adhesion promotion layer. The etch stop layer may include silicon, carbon, and nitrogen. The dielectric layer may include silicon, oxygen, and carbon. The adhesion promotion layer may include carbon, oxygen, and nitrogen. An example of an adhesion promotion layer includes polyimide.
    Type: Application
    Filed: September 29, 2009
    Publication date: March 31, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Bo-Jiun Lin
  • Publication number: 20110073931
    Abstract: A plasma nitriding process is followed by a selective etching process which removes a silicon oxynitride film formed on surfaces of both an element separation film and an insulation film while leaving a silicon nitride film formed on an electrode layer. The selective etching process removes the silicon oxynitride film formed on the surfaces of the element separation film and the insulation film.
    Type: Application
    Filed: November 9, 2010
    Publication date: March 31, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yoshihiro HIROTA, Yoshihiro SATO, Nobuo OKUMURA
  • Publication number: 20110073972
    Abstract: A vertical total internal reflection (TIR) mirror and fabrication thereof is made by creating a re-entrant profile using crystallographic silicon etching. Starting with an SOI wafer, a deep silicon etch is used to expose the buried oxide layer, which is then wet-etched (in HF), opening the bottom surface of the Si device layer. This bottom silicon surface is then exposed so that in a crystallographic etch, the resulting shape is a re-entrant trapezoid with facets These facets can be used in conjunction with planar silicon waveguides to reflect the light upwards based on the TIR principle. Alternately, light can be coupled into the silicon waveguides from above the wafer for such purposes as wafer level testing.
    Type: Application
    Filed: September 25, 2009
    Publication date: March 31, 2011
    Inventors: John Heck, Ansheng Liu, Michael T. Morse, Haisheng Rong
  • Publication number: 20110070734
    Abstract: The invention relates to a method for manufacturing a device comprising a structure with nanowires based on a semiconducting material such as Si and another structure with nanowires based on another semiconducting material such as SiGe, and is notably applied to the manufacturing of transistors.
    Type: Application
    Filed: August 30, 2010
    Publication date: March 24, 2011
    Applicant: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENE. ALT.
    Inventors: Emeline SARACCO, Jean-Francois Damlencourt, Michel Heitzmann
  • Publication number: 20110065280
    Abstract: The method includes a film-forming process which forms a carbon film, to isotropically coat a surface of a silicon film pattern in which a first line portion formed of a silicon film that is formed on a target etching film on a substrate is arranged, an etchback process which etches back the carbon film such that the carbon film is removed from an upper portion of the first line portion and remains as a side wall portion of the first line portion, and a silicon film removing process which forms a mask pattern in which the side wall portion is arranged, by removing the first line portion.
    Type: Application
    Filed: August 9, 2010
    Publication date: March 17, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shigeru Nakajima, Kazuhide Hasebe, Hidetami Yaegashi, Eiichi Nishimura
  • Publication number: 20110059614
    Abstract: An apparatus to supply a plurality of process fluids for processing a substrate in a semiconductor processing chamber is disclosed. The apparatus includes a plurality of process fluid supply valves and a fluid supply network that is defined between a crossover valve and a tuning supply valve. The apparatus further includes a tuning fluid supply being connected to the fluid supply network through the tuning supply valve. Further included with the apparatus is a plurality of process fluids that are connected to the fluid supply network through the plurality of process fluid supply valves. A process chamber that has a substrate support is also included in the apparatus. The process chamber further including an edge fluid supply and a center fluid supply, the edge fluid supply connected to the fluid supply network through an edge enable valve and the center supply connected to the fluid supply network through a center enable valve.
    Type: Application
    Filed: September 4, 2009
    Publication date: March 10, 2011
    Inventors: Miguel A. Saldana, Greg Sexton
  • Publication number: 20110049649
    Abstract: Integrated MEMS switches, design structures and methods of fabricating such switches are provided. The method includes forming at least one tab of sacrificial material on a side of a switching device which is embedded in the sacrificial material. The method further includes stripping the sacrificial material through at least one opening formed on the at least one tab which is on the side of the switching device, and sealing the at least one opening with a capping material.
    Type: Application
    Filed: August 27, 2009
    Publication date: March 3, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Felix P. ANDERSON, Thomas L. McDEVITT, Anthony K. STAMPER
  • Publication number: 20110053378
    Abstract: An etchant for dielectrics, such as silicon dioxide, that leaves monocrystalline silicon surface exposed by the etchant free of etch damage, such as etch pits, when the etch is done in the presence of transition metals, such as copper, tungsten, titanium, gold, etc. The etchant comprises hydrofluoric acid and a source of halide anion, such as hydrochloric acid or a metal-halide. The etchant is useful in microelectromechanical system device fabrication and in deprocessing integrated circuits or the like.
    Type: Application
    Filed: August 25, 2009
    Publication date: March 3, 2011
    Inventors: Frank Baiocchi, David Kem, John DeLucca
  • Publication number: 20110044364
    Abstract: A structure and method that can be used to achieve selective etching in (Ga, Al, In, B) N laser diodes, comprising fabricating (Ga, Al, In, B) N laser diodes with one or more Al-containing etch stop layers.
    Type: Application
    Filed: August 19, 2010
    Publication date: February 24, 2011
    Applicant: THE REGENTS OF THE UNIVERSITY OF CALIFORNIA
    Inventors: Robert M. Farrell, Daniel A. Haeger, Po Shan Hsu, Umesh K. Mishra, Steven P. DenBaars, James S. Speck, Shuji Nakamura
  • Publication number: 20110042728
    Abstract: In one embodiment, a method is provided for forming stress in a semiconductor device. The semiconductor device may include a gate structure on a substrate, wherein the gate structure includes at least one dummy material that is present on a gate conductor. A conformal dielectric layer is formed atop the semiconductor device, and an interlevel dielectric layer is formed on the conformal dielectric layer. The interlevel dielectric layer may be planarized to expose at least a portion of the conformal dielectric layer that is atop the gate structure, in which the exposed portion of the conformal dielectric layer may be removed to expose an upper surface of the gate structure. The upper surface of the gate structure may be removed to expose the gate conductor. A stress inducing material may then be formed atop the at least one gate conductor.
    Type: Application
    Filed: August 18, 2009
    Publication date: February 24, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Bruce B. Doris, Charles William Koburger, III
  • Publication number: 20110034029
    Abstract: According to one embodiment, a pattern formation method is disclosed. The method includes forming a plurality of regions on a foundation and the plurality of the regions correspond to different pattern sizes. The method includes separating each of a plurality of block copolymers from another one of the plurality of the block copolymers and segregating the each of the plurality of the block copolymers into a corresponding one of the regions. The method includes performing a phase separation of the each of the block copolymers of each of the regions. The method includes selectively removing a designated phase of each of the phase-separated block copolymers to form a pattern of the each of the block copolymers and the pattern has a different pattern size for the each of the regions.
    Type: Application
    Filed: August 3, 2010
    Publication date: February 10, 2011
    Inventors: Kentaro MATSUNAGA, Tomoya Oori, Eishi Shiobara, Yukiko Sato, Yoshihisa Kawamura
  • Publication number: 20110024771
    Abstract: Quantitative understanding of neural and biological activity at a sub-millimeter scale requires an integrated probe platform that combines biomarker sensors together with electrical stimulus/recording sites. Optically addressed biomarker sensors within such an integrated probe platform allows remote interrogation from the activity being measured. Monolithic or hybrid integrated silicon probe platforms would beneficially allow for accurate control of neural prosthetics, brain machine interfaces, etc as well as helping with complex brain diseases and disorders. According to the invention a silicon probe platform is provided employing ultra-thin silicon in conjunction with optical waveguides, optoelectronic interfaces, porous filter elements, and integrated CMOS circuitry. Such probes allowing simultaneously analysis of both neural electrical activities along with chemical activity derived from multiple biomolecular sensors with porous membrane filters.
    Type: Application
    Filed: June 30, 2010
    Publication date: February 3, 2011
    Applicant: The Royal Institution for the Advancement of Learning / McGill University
    Inventors: Mohamad Hajj-Hassan, Vamsy Chodavarapu, Sam Musallam
  • Publication number: 20110027933
    Abstract: Methods of texturing and manufacturing a solar cell are provided. The method of texturing the solar includes texturing a surface of a substrate of the solar cell using a wet etchant, and the wet etchant includes a surfactant.
    Type: Application
    Filed: October 15, 2010
    Publication date: February 3, 2011
    Inventors: Juhwa Cheong, Sungjin Kim, Jiweon Jeong, Younggu Do
  • Publication number: 20110019380
    Abstract: Improvements in an interferometric modulator that has a cavity defined by two walls.
    Type: Application
    Filed: October 4, 2010
    Publication date: January 27, 2011
    Applicant: QUALCOMM MEMS Technologies, Inc.
    Inventor: Mark W. Miles
  • Publication number: 20110020966
    Abstract: A method for processing a silicon substrate includes preparing a first silicon substrate including an etching mask layer including first and second opening portions; forming a first recess in a portion of the silicon substrate corresponding to a region in the first opening portion; etching the silicon substrate by crystal anisotropic etching through the etching mask layer with an etching apparatus and an etchant, the etching proceeding in the first and second opening portions to form a through hole in a position corresponding to the first opening portion and to form a second recess in a position corresponding to the second opening portion; calculating an etching rate of the silicon substrate in terms of the etchant by using the second recess; and determining, by using the calculated etching rate, an etching condition for etching another silicon substrate with the etching apparatus after the etching of the first silicon substrate.
    Type: Application
    Filed: July 19, 2010
    Publication date: January 27, 2011
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Mitsuru Chida, Keiji Edamatsu, Toshiyasu Sakai, Jun Yamamuro
  • Publication number: 20110008969
    Abstract: A method for fabricating a semiconductor mask is described. A semiconductor stack having a sacrificial mask and a spacer mask is first provided. The sacrificial mask is comprised of a series of lines and the spacer mask has spacer lines adjacent to the sidewalls of the series of lines. Next, the spacer mask is cropped. Finally, the sacrificial mask is removed to provide a cropped spacer mask. The cropped spacer mask doubles the frequency of the series of lines of the sacrificial mask.
    Type: Application
    Filed: September 20, 2010
    Publication date: January 13, 2011
    Inventors: Christopher D. Bencher, Keiji Horioka
  • Publication number: 20110008928
    Abstract: This invention discloses a method for etching a see-through thin film solar module, comprising: printing ink paste which resists the etching of etching solutions in the protected area of the thin film solar module which is placed under a screen; drying and solidifying the ink paste; coating etching solutions on the thin film solar module; and removing the ink paste. The method of this invention can accurately position the see-through area, achieve various selections of see-through patterns, facilitate the realization of the see-through function in large-area thin film solar modules, and alleviate the problem that a short circuit easily occurs in a see-through thin film solar module.
    Type: Application
    Filed: July 8, 2010
    Publication date: January 13, 2011
    Applicants: WUXI SUNTECH POWER CO., LTD., SUNTECH POWER CO., LTD.
    Inventors: Peng Guo, Xianzhong Song, Qi Qiao, Yongqian Wang
  • Publication number: 20110003462
    Abstract: Provided is a method for manufacturing an SOI wafer, which is capable of: efficiently removing an ion-implanted defect layer existing in an ion implanted layer in the vicinity of a peeled surface peeled by an ion implantation peeling method; ensuring the in-plane uniformity of a substrate; and also achieving cost reduction and higher throughput. The method for manufacturing an SOI wafer includes at least the steps of: bonding a silicon wafer with or without an oxide film onto a handle wafer to prepare a bonded substrate, wherein the silicon wafer has an ion implanted layer formed by implanting hydrogen ions and/or rare gas ions into the silicon wafer; peeling the silicon wafer along the ion implanted layer, thereby transferring the silicon wafer onto the handle wafer to produce a post-peeling SOI wafer; immersing the post-peeling SOI wafer in an aqueous ammonia-hydrogen peroxide solution; and performing a heat treatment at a temperature of 900° C.
    Type: Application
    Filed: March 23, 2009
    Publication date: January 6, 2011
    Inventors: Shoji Akiyama, Yoshihiro Kubota, Atsuo Ito, Kouichi Tanaka, Makoto Kawai, Yuji Tobisaka, Hiroshi Tamura
  • Publication number: 20110001198
    Abstract: A method for producing Microelectromechanical Systems (MEMS) and related devices using Silicon-On-Insulator (SOI) wafer includes providing an SOI wafer, performing a mesa etch to at least partially define the MEMS device, bonding the SOI wafer to an interposer by direct boding, removing the handle layer of the SOI wafer, removing the oxide layer of the SOI wafer, and further etching the device layer of the SOI wafer to define the MEMS device. A structure manufactured according to the above described processes includes an interposer comprising an SOI wafer and a MEMS device mounted on the interposer. The MEMS device comprises posts extending from a silicon plate. The MEMS device is directly mounted to the interposer by bonding the posts of the MEMS device to the device layer of the interposer.
    Type: Application
    Filed: February 1, 2010
    Publication date: January 6, 2011
    Inventor: William D. Sawyer
  • Publication number: 20100330788
    Abstract: A thin wafer handling structure includes a semiconductor wafer, a release layer that can be released by applying energy, an adhesive layer that can be removed by a solvent, and a carrier, where the release layer is applied on the carrier by coating or laminating, the adhesive layer is applied on the semiconductor wafer by coating or laminating, and the semiconductor wafer and the carrier is bonded together with the release layer and the adhesive layer in between. The method includes applying a release layer on a carrier, applying an adhesive layer on a semiconductor wafer, bonding the carrier and the semiconductor wafer, releasing the carrier by applying energy on the release layer, e.g. UV or laser, and cleaning the semiconductor's surface by a solvent to remove any residue of the adhesive layer.
    Type: Application
    Filed: June 18, 2010
    Publication date: December 30, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chen-Hua YU, Kuo-Ching HSU, Chen-Shien CHEN, Ching-Wen HSIAO
  • Patent number: 7858426
    Abstract: Methods of texturing and manufacturing a solar cell are provided. The method of texturing the solar includes texturing a surface of a substrate of the solar cell using a wet etchant, and the wet etchant includes a surfactant.
    Type: Grant
    Filed: March 26, 2009
    Date of Patent: December 28, 2010
    Assignee: LG Electronics Inc.
    Inventors: Juhwa Cheong, Sungjin Kim, Jiweon Jeong, Younggu Do
  • Patent number: 7858508
    Abstract: In a method of manufacturing a semiconductor device, a trench is formed to have an upper quadrangular section and a lower circular section which is formed through a hydrogen annealing process, to extend in a depth direction of a semiconductor substrate. An insulating film is formed on a surface of the trench and a surface of the semiconductor substrate. A conductive film is formed to fill the trench whose surface is covered with the an insulating film. Source/drain regions are formed on both sides of the trench.
    Type: Grant
    Filed: March 14, 2007
    Date of Patent: December 28, 2010
    Assignee: Elpida Memory, Inc.
    Inventors: Hiroyuki Fujimoto, Yasuhiko Ueda, Fumiki Aiso, Yuki Koga
  • Publication number: 20100323481
    Abstract: Disclosed are methods of forming transistors. In one embodiment, the transistors are formed by forming a plurality of elliptical bases in a substrate and forming fins form the elliptical bases. The transistors are formed within the fin such that they may be used as access devices in a memory array.
    Type: Application
    Filed: August 30, 2010
    Publication date: December 23, 2010
    Applicant: Micron Technology, Inc.
    Inventor: Werner Juengling
  • Patent number: 7855152
    Abstract: The invention provides a production method for an active matrix substrate in which a plurality of contact holes are formed by a one-mask process so as to reach metal films which are present at different depth positions in an insulating layer and are not evaporated by dry etching using a fluorine-containing gas. The method includes a step of performing dry etching using mixed gas of CHF3, CF4 and O2 to form the plurality of contact hole, a step of subjecting the plurality of contact holes to oxygen ashing, and a step of forming a transparent conductive film in the plurality of contact holes.
    Type: Grant
    Filed: July 25, 2007
    Date of Patent: December 21, 2010
    Assignee: NEC LCD Technologies, Ltd.
    Inventors: Kiyoshi Yanase, Satoshi Doi
  • Publication number: 20100314725
    Abstract: A semiconductor component (such as a semiconductor wafer or semiconductor die) includes a substrate having a front side and a back side. The semiconductor die/wafer also includes a stress balance layer on the back side of the substrate. An active layer deposited on the front side of the substrate creates an unbalanced stress in the semiconductor wafer/die. The stress balance layer balances stress in the semiconductor wafer/die. The stress in the stress balance layer approximately equals the stress in the active layer. Balancing stress in the semiconductor component prevents warpage of the semiconductor wafer/die.
    Type: Application
    Filed: June 12, 2009
    Publication date: December 16, 2010
    Applicant: QUALCOMM INCORPORATED
    Inventors: Shiqun Gu, Arvind Chandrasekaran, Urmi Ray, Yiming Li
  • Publication number: 20100308425
    Abstract: A MEMS device comprises a back-plate (7) having an inner portion (7a) and an outer portion (7b), the inner portion (7a) connected to the outer portion (7b) by a sidewall (7c). A raised section or anchor ring (60) is formed in the outer portion (7b) the back-plate, in a region of the back-plate near the inner perimeter of the outer portion. The anchor ring may comprise angled sidewalls. The thickness of the back-plate may be greater than the thickness of the material supporting the anchor ring. Embodiments are also disclosed in which a membrane comprises a raised portion and an outer portion connected by an angled sidewall.
    Type: Application
    Filed: September 18, 2008
    Publication date: December 9, 2010
    Inventors: Richard Ian Laming, Colin Robert Jenkins
  • Publication number: 20100295138
    Abstract: A MEMS integrated circuit including a plurality of layers where a portion includes one or more electronic elements on a semiconductor material substrate. The circuit includes a structure of interconnection layers having a bottom layer of conductor material and a top layer of conductor material where the layers are separated by at least one layer of dielectric material. The bottom layer may be formed above and in contact with an Inter Dielectric Layer. The circuit also includes a hollow space within the structure of interconnection layers and a MEMS device in communication with the structure of interconnection layers.
    Type: Application
    Filed: May 20, 2010
    Publication date: November 25, 2010
    Applicant: Baolab Microsystems SL
    Inventors: Josep Montanya Silvestre, Juan Jose Valle Fraga, Marco Antonio Llamas Morote, Tayyib Sabir
  • Publication number: 20100297851
    Abstract: Compositions for use in multiple exposure photolithography and methods of forming electronic devices using a multiple exposure lithographic process are provided. The compositions find particular applicability in semiconductor device manufacture for making high-density lithographic patterns.
    Type: Application
    Filed: May 17, 2010
    Publication date: November 25, 2010
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventors: Young Cheol BAE, Yi Liu, Thomas Cardolaccia, Peter Trefonas, III
  • Publication number: 20100290736
    Abstract: Embodiments of an optical device, an array of optical devices, and a technique for fabricating the optical device or the array are described. This optical device is implemented on a substrate (such as silicon), and includes a thermally tunable optical waveguide that has good thermal isolation from its surroundings. In particular, a portion of a semiconductor in the optical device, which includes the optical waveguide, is free standing above a gap between the semiconductor layer and the substrate. By reducing the thermal coupling between the optical waveguide and the external environment, the optical device can be thermally tuned with significantly less power consumption.
    Type: Application
    Filed: July 28, 2010
    Publication date: November 18, 2010
    Applicant: SUN MICROSYSTEMS, INC.
    Inventors: John E. Cunningham, Ashok V. Krishnamoorthy, Ivan Shubin, Guoliang Li, Xuezhe Zheng
  • Publication number: 20100289000
    Abstract: A manufacturing method of a light-emitting diode, includes the steps of: successively growing a first clad layer, an active layer and a second clad layer on a substrate; and patterning the first clad layer, the active layer and the second clad layer into a specified plane shape, and causing at least a part of an outer peripheral part of the active layer to protrude to an outside from at least one of the first clad layer and the second clad layer.
    Type: Application
    Filed: April 20, 2010
    Publication date: November 18, 2010
    Applicant: SONY CORPORATION
    Inventor: Kensuke Kojima
  • Publication number: 20100291770
    Abstract: A method of forming openings to a layer of a semiconductor device comprises forming a dielectric layer over the layer of the semiconductor device, and forming a mask over the dielectric layer. The mask comprises a plurality of mask openings arranged in a regular pattern extending over the dielectric layer and the plurality of mask openings include a plurality of first mask openings and a plurality of second mask openings, each of the plurality of first mask openings being greater in size than each of the plurality of second mask openings. The method further comprises reducing the size of the plurality of second mask openings such that each of the second mask openings is substantially closed and removing portions of the dielectric layer through the plurality of first mask openings to provide openings extending through the dielectric layer to the layer.
    Type: Application
    Filed: January 23, 2008
    Publication date: November 18, 2010
    Inventors: Scott Warrick, Massud Abubaker Aminpur, Will Conley, Lionel Riviere-Cazeaux
  • Publication number: 20100283131
    Abstract: A semiconductor wafer has a semiconductor substrate and films on the substrate. The substrate and/or the films have at least one etch line creating a discontinuous surface that reduces residual stress in the wafer. Reducing residual stress in the semiconductor wafer reduces warpage of the wafer when the wafer is thin. Additionally, isolation plugs may be used to fill a portion of the etch lines to prevent shorting of the layers.
    Type: Application
    Filed: May 7, 2009
    Publication date: November 11, 2010
    Applicant: QUALCOMM INCORPORATED
    Inventor: Arvind Chandrasekaran
  • Publication number: 20100279505
    Abstract: A method for forming patterns on a wafer includes forming a fence having a sloped face in an edge portion of the wafer. The sloped face is direct to an inside of the wafer. A first photoresist layer is formed which extends to cover the fence on the wafer. First photoresist patterns are formed by performing a first exposure and development on the first photoresist layer. An etch process is performed using the first photoresist patterns and the fence as an etch mask. The fence is formed by selectively exposing a negative resist using a light shielding blade, and at this time, the first photoresist layer is formed including a positive resist.
    Type: Application
    Filed: October 20, 2009
    Publication date: November 4, 2010
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Hyun Jo Yang
  • Publication number: 20100279511
    Abstract: Provided are a wafer through silicon via (TSV) forming method and equipment therefor. The wafer TSV forming method includes the operations of arranging a wafer having a front surface having a circuit area patterned thereon; recognizing locations of bond pads in the circuit area of the front surface of the wafer by using an image recognition camera, and converting the recognition of the locations into bond pad location information with respect to a back surface of the wafer; flipping the wafer; forming etching holes with middle depth in the back surface of the wafer by using a laser in a manner to match the locations of the bond pads by using the bond pad location information from the image recognition camera; and performing a plasma isotropic etching on the back surface having formed therein the etching holes with middle depth, thereby forming TSVs penetrating the bond pads.
    Type: Application
    Filed: July 30, 2009
    Publication date: November 4, 2010
    Inventors: Jung Hwan CHUN, Gyu Han KIM
  • Publication number: 20100279509
    Abstract: A silicon-based hardmask composition, including an organosilane polymer represented by Formula 1: {(SiO1.5—Y—SiO1.5)x(R3SiO1.5)y(XSiO1.5)z}(OH)e(OR6)f??(1).
    Type: Application
    Filed: July 12, 2010
    Publication date: November 4, 2010
    Inventors: Sang Kyun Kim, Hyeon Mo Cho, Sang Ran Koh, Mi Young Kim, Hui Chan Yun, Yong Jin Chung, Jong Seob Kim
  • Publication number: 20100270616
    Abstract: There is provided a semiconductor device in which the degradation of electric characteristics can be inhibited. A semiconductor substrate has a main surface, and a trench in the main surface. A buried insulating film is buried in the trench. The trench has one wall surface and the other wall surface which oppose each other. A gate electrode layer is located over at least the buried insulating film. The trench has angular portions which are located between the main surface of at least either one of the one wall surface and the other wall and a bottom portion of the trench.
    Type: Application
    Filed: April 5, 2010
    Publication date: October 28, 2010
    Inventor: Shinichiro Yanagi
  • Publication number: 20100270651
    Abstract: A sapphire substrate with periodical structure is disclosed, which comprises: a sapphire substrate, and at least one periodical structure formed on at least one surface of the sapphire substrate and having plural micro-cavities; wherein, the micro-cavities are arranged in an array, the micro-cavities are each in an inverted awl-shape, the length of the base line of the micro-cavities is 100˜2400 nm, and the depth of the micro-cavities is 25˜1000 nm.
    Type: Application
    Filed: April 22, 2010
    Publication date: October 28, 2010
    Applicant: Aurotek Corporation
    Inventors: Chung-Hua Li, Sheng-Ru Lee
  • Publication number: 20100270632
    Abstract: A resonator and method of making a resonator are provided. A particular method includes etching a silicon substrate to form a resonator structure. The resonator structure includes at least one resonator beam. The method also includes converting at least a portion of the at least one resonator beam to dry oxide.
    Type: Application
    Filed: April 27, 2009
    Publication date: October 28, 2010
    Applicant: The Boeing Company
    Inventor: Howard H. Ge
  • Publication number: 20100267241
    Abstract: Elemental fluorine and carbonyl fluoride are suitable etchants for producing microelectromechanical devices (“MEMS”). They are preferably applied as mixtures with nitrogen and argon. If applied in Bosch-type process, C4F6 is a highly suitable passivating gas.
    Type: Application
    Filed: December 16, 2008
    Publication date: October 21, 2010
    Applicant: SOLVAY FLUOR GMBH
    Inventor: Marcello Riva
  • Publication number: 20100264519
    Abstract: Disclosed are methods and devices for targeting CD of selected transistors in a semiconductor device. Varying CD is done by forming hard mask lines in a hard mask layer that have varying amounts of spacer material associated therewith. Hard mask lines corresponding to selected transistors are either left covered or uncovered by a resist applied over the hard mask layer. Then, spacer material is selectively removed from the hard mask lines to vary the width of hard mask lines and associated side wall spacers. A gate layer is then etched through the spaces in the hard mask lines to form gate lines having varying widths and targeted CD.
    Type: Application
    Filed: April 15, 2009
    Publication date: October 21, 2010
    Applicant: SPANSION LLC
    Inventors: Bradley M. Davis, Jihwan Choi, Angela T. Hui