Chemical Or Electrical Treatment, E.g., Electrolytic Etching (epo) Patents (Class 257/E21.215)
  • Publication number: 20100264500
    Abstract: A method of processing a stack, the method including depositing a fusible material on a first hardmask layer, the first hardmask layer disposed on a surface of a pre-processed stack, the pre-processed stack being disposed on at least a portion of a substrate; heating the fusible material layer to a temperature at or above its melting point to cause it to form a fusible material sphere, the fusible material sphere disposed on less than the entire first hardmask layer; etching the first hardmask layer, wherein the fusible material sphere prevents a portion of the first hardmask layer from etching, thereby forming a second hardmask layer; and etching the pre-processed stack, wherein at least the second hardmask layer prevents a portion of the pre-processed stack from etching, thereby forming a stack.
    Type: Application
    Filed: April 20, 2009
    Publication date: October 21, 2010
    Applicant: SEAGATE TECHNOLOGY LLC
    Inventor: Jianxin Zhu
  • Publication number: 20100261300
    Abstract: A method for separating an epitaxial substrate from a semiconductor layer initially forms a patterned silicon dioxide layer between a substrate and a semiconductor layer, and then separates the substrate from the patterned silicon dioxide layer using two wet etching processes.
    Type: Application
    Filed: April 8, 2010
    Publication date: October 14, 2010
    Applicant: ADVANCED OPTOELECTRONIC TECHNOLOGY INC.
    Inventors: PO MIN TU, SHIH CHENG HUANG, YING CHAO YEH, WEN YU LIN, PENG YI WU, CHIH PANG MA, TZU CHIEN HONG, CHIA HUI SHEN
  • Patent number: 7811938
    Abstract: An exemplary method for forming gaps in a micromechanical device includes providing a substrate. A first material layer is deposited over the substrate. A sacrificial layer is deposited over the first material layer. A second material layer is deposited over the sacrificial layer such that at least a portion of the sacrificial layer is exposed. The exposed portion of the sacrificial layer is etched by dry etching. The remaining portion of the sacrificial layer is etched by wet etching to form gaps between the first material layer and the second material layer. One or more bulges are formed at one side of the second material layer facing the first material layer, and are a portion of the sacrificial layer remaining after the wet etching.
    Type: Grant
    Filed: October 9, 2008
    Date of Patent: October 12, 2010
    Assignees: Tsinghua University, Hon Hai Precision Industry Co., Ltd.
    Inventors: Cheng-Rong Yi-Li, Qun-Qing Li, Shou-Shan Fan
  • Publication number: 20100255680
    Abstract: Techniques for fabricating nanowire-based devices are provided. In one aspect, a method for fabricating a semiconductor device is provided comprising the following steps. A wafer is provided having a silicon-on-insulator (SOI) layer over a buried oxide (BOX) layer. Nanowires and pads are etched into the SOI layer to form a ladder-like structure wherein the pads are attached at opposite ends of the nanowires. The BOX layer is undercut beneath the nanowires. The nanowires and pads are contacted with an oxidizing gas to oxidize the silicon in the nanowires and pads under conditions that produce a ratio of a silicon consumption rate by oxidation on the nanowires to a silicon consumption rate by oxidation on the pads of from about 0.75 to about 1.25. An aspect ratio of width to thickness among all of the nanowires may be unified prior to contacting the nanowires and pads with the oxidizing gas.
    Type: Application
    Filed: April 3, 2009
    Publication date: October 7, 2010
    Applicant: International Business Machines Corporation
    Inventors: Tymon Barwicz, Guy Cohen, Lidija Sekaric, Jeffrey Sleight
  • Publication number: 20100248485
    Abstract: A method of removing carbon doped silicon oxide between metal contacts is provided. A layer of the carbon doped silicon oxide is converted to a layer of silicon oxide by removing the carbon dopant. The converted layer of silicon oxide is selectively wet etched with respect to the carbon doped silicon oxide and the metal contacts, which forms recess between the metal contacts.
    Type: Application
    Filed: March 27, 2009
    Publication date: September 30, 2010
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Mayumi Block, Robert C. Hefty, Stephen M. Sirard, Kenji Takeshita
  • Publication number: 20100248408
    Abstract: Methods of texturing and manufacturing a solar cell are provided. The method of texturing the solar includes texturing a surface of a substrate of the solar cell using a wet etchant, and the wet etchant includes a surfactant.
    Type: Application
    Filed: March 26, 2009
    Publication date: September 30, 2010
    Inventors: Juhwa Cheong, Sungjin Kim, Jiweon Jeong, Younggu Do
  • Publication number: 20100248482
    Abstract: A method of manufacturing a semiconductor device according to an embodiment of the present invention includes mask layer on a processing target, pressing a template having a pattern having closed loop structure against the mask layer via an imprint material to solidify the imprint material, etching the mask layer by using the imprint material to form a mask, removing a part of the pattern having the closed loop of the mask, and etching the processing target by the mask including the pattern, the part of which is removed.
    Type: Application
    Filed: January 7, 2010
    Publication date: September 30, 2010
    Inventor: Koji HASHIMOTO
  • Publication number: 20100248477
    Abstract: It is disclosed a cleaning liquid used in a process for forming a dual damascene structure comprising steps of etching a low dielectric layer (low-k layer) accumulated on a substrate having thereon a metallic layer to form a first etched-space; charging a sacrifice layer in the first etched-space; partially etching the low dielectric layer and the sacrifice layer to form a second etched-space connected to the first etched-space; and removing the sacrifice layer remaining in the first etched-space with the cleaning liquid, wherein the cleaning liquid comprises (a) 1-25 mass % of a quaternary ammonium hydroxide, such as TMAH and choline (b) 30-70 mass % of a water soluble organic solvent, and (c) 20-60 mass % of water.
    Type: Application
    Filed: June 9, 2010
    Publication date: September 30, 2010
    Inventors: Shigeru Yokoi, Kazumasa Wakiya
  • Publication number: 20100240219
    Abstract: A method of treating a semiconductor substrate has forming convex patterns over the semiconductor substrate by dry etching, cleaning and modifying a surface of the convex patterns by using chemical, forming a hydrophobic functional surface on the modified surface of the convex patterns, after forming the hydrophobic functional surface, rinsing the semiconductor substrate by using water, drying the semiconductor substrate, and removing the hydrophobic functional group from the hydrophobic functional surface of the convex patterns.
    Type: Application
    Filed: May 26, 2010
    Publication date: September 23, 2010
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Hiroshi Tomita, Tatsuhiko Koide, Hisashi Okuchi, Kentaro Shimayama, Hiroyasu Iimori, Linan Ji
  • Publication number: 20100236613
    Abstract: A back contact single heterojunction solar cell and associated fabrication process are provided. A first semiconductor substrate is provided, lightly doped with a first dopant type. The substrate has a first energy bandgap. A second semiconductor is formed over a region of the substrate backside. The second semiconductor has a second energy bandgap, larger than the first energy bandgap. A third semiconductor layer is formed over the first semiconductor substrate topside, moderately doped with the first dopant and textured. An emitter is formed in the substrate backside, heavily doped with a second dopant type, opposite of the first dopant type, and a base is formed in the substrate backside, heavily doped with the first dopant type. Electrical contacts are made to the base and emitter. Either the emitter or base is formed in the second semiconductor.
    Type: Application
    Filed: March 17, 2009
    Publication date: September 23, 2010
    Inventors: Jong-Jan Lee, Paul J. Schuele, Steven R. Droes
  • Publication number: 20100240221
    Abstract: Provided are methods of forming patterns of semiconductor devices, whereby patterns having various widths may be simultaneously formed, and a pattern density may be doubled by a double patterning process in a portion of the semiconductor device. A dual mask layer is formed on a substrate. A variable mask layer is formed on the dual mask layer. A first photoresist pattern having a first thickness and a first width in the first region, and a second photoresist pattern having a second thickness greater than the first thickness and a second width wider than the first width in the second region are formed on the variable mask layer. A first mask pattern and a first variable mask pattern are formed in the first region, and a second mask pattern and a second variable mask pattern are formed in the second region, by sequentially etching the variable mask layer and the dual mask layer by using, as etch masks, the first photoresist pattern and the second photoresist pattern.
    Type: Application
    Filed: October 19, 2009
    Publication date: September 23, 2010
    Inventors: Bong-cheol Kim, Dae-youp Lee, Hyun-Woo Kim, Young-moon Choi, Jong-su Park, Byeong-hwan Son
  • Publication number: 20100240218
    Abstract: The etching method includes etching the silicon oxide film by supplying a halogen-containing gas and a basic gas to the substrate so that the silicon oxide film is chemically reacted with the halogen-containing gas and the basic gas to generate a condensation layer; etching silicon by supplying a silicon etching gas, which includes at least one selected from the group consisting of an F2 gas, an XeF2 gas, and a ClF3 gas, to the substrate; and after the etching of the silicon oxide film and the etching of the silicon, heating and removing the condensation layer from the substrate.
    Type: Application
    Filed: March 19, 2010
    Publication date: September 23, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Hajime UGAJIN
  • Publication number: 20100229929
    Abstract: Improved silicon solar cells, silicon image sensors and like photosensitive devices are made to include strained silicon at or sufficiently near the junctions or other active regions of the devices to provide increased sensitivity to longer wavelength light. Strained silicon has a lower band gap than conventional silicon. One method of making a solar cell that contains tensile strained silicon etches a set of parallel trenches into a silicon wafer and induces tensile strain in the silicon fins between the trenches. The method may induce tensile strain in the silicon fins by filling the trenches with compressively strained silicon nitride or silicon oxide. A deposited layer of compressively strained silicon nitride adheres to the walls of the trenches and generates biaxial tensile strain in the plane of adjacent silicon fins.
    Type: Application
    Filed: March 16, 2009
    Publication date: September 16, 2010
    Inventor: Paul A. Clifton
  • Patent number: 7795056
    Abstract: A method of fabricating a semiconductor device is provided. First, a first electrode is formed over a first region of a substrate. Then, a dielectric layer covering the first electrode is formed over the substrate. After that, a plurality of openings is formed on the first region of the substrate. Thereafter, a conductive layer covering the dielectric layer and the openings is formed over the substrate. Then, the conductive layer in the bottom of the openings is removed to form second electrodes. After that, the dielectric layer between the second electrode and the first electrode is removed.
    Type: Grant
    Filed: June 3, 2008
    Date of Patent: September 14, 2010
    Assignee: United Microelectronics Corp.
    Inventor: Hui-Shen Shih
  • Patent number: 7790493
    Abstract: Disclosed herein is a method of fabricating a device having a microstructure. The method includes forming a connector on a semiconductor substrate, coating the connector with a polymer layer, and immersing the semiconductor substrate and the coated connector in an etchant solution to form the microstructure from the semiconductor substrate and to release the coated connector and the microstructure from the semiconductor substrate such that the microstructure remains coupled to a further element of the device via the coated connector. In some cases, the microstructure is defined by forming an etch stop in the semiconductor substrate, and the microstructure and the semiconductor substrate are coated with a polymer layer, which may then be selectively patterned. The microstructure may then be released from the semiconductor substrate in accordance with the etch stop.
    Type: Grant
    Filed: May 25, 2006
    Date of Patent: September 7, 2010
    Assignee: The Regents of the University of Michigan
    Inventors: Kensall D. Wise, Mayurachat Ning Gulari, Ying Yao
  • Publication number: 20100221912
    Abstract: A method of manufacturing a semiconductor device includes a process of removing, by dry etching, an insulating layer which is formed on the top surface of a Ni-containing silicide layer to thereby at least partially expose the Ni-containing silicide layer; and a process of cleaning the exposed portion of the Ni-containing silicide layer using reduced water having a reductive function.
    Type: Application
    Filed: February 22, 2010
    Publication date: September 2, 2010
    Applicant: NEC ELECTRONICS CORPORATION
    Inventors: Tomoo Nakayama, Yoshiko Kasama, Eiichi Fujikura, Atsushi Kikuchi
  • Patent number: 7785920
    Abstract: A pillar-type phase change memory element comprises first and second electrode elements and a phase change element therebetween. A second electrode material and a chlorine-sensitive phase change material are selected. A first electrode element is formed. The phase change material is deposited on the first electrode element and the second electrode material is deposited on the phase change material. The second electrode material and the phase change material are etched without the use of chlorine to form a second electrode element and a phase change element. The second electrode material selecting step, the phase change material selecting step and the etching procedure selecting step are carried out so that the phase change element is not undercut relative to the second electrode element during etching.
    Type: Grant
    Filed: July 12, 2006
    Date of Patent: August 31, 2010
    Assignee: Macronix International Co., Ltd.
    Inventors: Hsiang-Lan Lung, ChiaHua Ho
  • Publication number: 20100216307
    Abstract: A method for fabricating a semiconductor device comprises patterning a layer of photoresist material to form a plurality of mandrels. The method further comprises depositing an oxide material over the plurality of mandrels by an atomic layer deposition (ALD) process. The method further comprises anisotropically etching the oxide material from exposed horizontal surfaces. The method further comprises selectively etching photoresist material.
    Type: Application
    Filed: April 30, 2010
    Publication date: August 26, 2010
    Applicant: Micron Technology, Inc.
    Inventors: Ardavan Niroomand, Baosuo Zhou, Ramakanth Alapati
  • Publication number: 20100215070
    Abstract: A multiwavelength optical device includes a substrate; a first mirror section including a plurality of first mirror layers stacked on the substrate; an active layer stacked on the first mirror section, the active layer including a light emission portion; a second mirror section including a plurality of second mirror layers stacked on the active layer; a first electrode disposed between the active layer and the second mirror section; and a second electrode disposed between the first mirror section and the active layer.
    Type: Application
    Filed: February 23, 2010
    Publication date: August 26, 2010
    Applicant: FUJITSU LIMITED
    Inventor: Yoshikazu HATTORI
  • Publication number: 20100216296
    Abstract: [Object] To provide a processing method capable of removing an oxide film adhering on a Si layer from the Si layer without adversely affecting parts other than the oxide film and capable of surely forming a SiGe layer with good film quality without roughening the crystal structure of a surface of the Si layer from which the oxide film has been removed, and to provide a recording medium. [Means for Solving the Problems] A processing method for removing an oxide film growing on a surface of a Si layer, and forming a SiGe layer on the surface of the exposed Si layer includes: supplying gas containing a halogen element and basic gas to the surface of the Si layer, and causing the oxide film growing on the surface of the Si layer to chemically react with the gas containing the halogen element and the basic gas to turn the oxide film into a reaction product; removing the reaction product by heating; and thereafter forming the SiGe layer on the surface of the exposed Si layer.
    Type: Application
    Filed: October 20, 2006
    Publication date: August 26, 2010
    Inventors: Yusuke Muraki, Shigeki Tozawa, Takehiko Orii
  • Publication number: 20100210041
    Abstract: An apparatus includes a process chamber configured to perform an ion implantation process. A cooling platen or electrostatic chuck is provided within the process chamber. The cooling platen or electrostatic chuck is configured to support a semiconductor wafer. The cooling platen or electrostatic chuck has a plurality of temperature zones. Each temperature zone includes at least one fluid conduit within or adjacent to the cooling platen or electrostatic chuck. At least two coolant sources are provided, each fluidly coupled to a respective one of the fluid conduits and configured to supply a respectively different coolant to a respective one of the plurality of temperature zones during the ion implantation process. The coolant sources include respectively different chilling or refrigeration units.
    Type: Application
    Filed: February 13, 2009
    Publication date: August 19, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chun-Lin Chang, Hsin-Hsien Wu, Zin-Chang Wei, Chi-Ming Yang, Chyi-Shyuan Chern, Jun-Lin Yeh, Jih-Jse Lin, Jo-Fei Wang, Ming-Yu Fan, Jong-I Mou
  • Publication number: 20100210057
    Abstract: An object is to provide a method for manufacturing a thin film transistor and a display device with reduced number of masks, in which adverse effects of optical current are suppressed. A manufacturing method comprises forming a stack including, from bottom to top, a light-blocking film, a base film, a first conductive film, a first insulating film, a semiconductor film, an impurity semiconductor film, and a second conductive film; performing first etching on the whole thickness of the stack using a first resist mask formed over it; forming a gate electrode layer by side etching the first conductive film in a second etching; forming a second resist mask over the stack; and performing third etching down to the semiconductor film, and partially etching it, using the second resist mask to form a source and drain electrode layer, a source and drain region, and a semiconductor layer.
    Type: Application
    Filed: January 28, 2010
    Publication date: August 19, 2010
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Hidekazu MIYAIRI, Takafumi MIZOGUCHI
  • Patent number: 7776745
    Abstract: A method for selectively etching single-crystal silicon-germanium in the presence of single-crystal silicon, including a chemical etch based on hydrochloric acid in gaseous phase at a temperature lower than approximately 700° C.
    Type: Grant
    Filed: February 9, 2007
    Date of Patent: August 17, 2010
    Assignee: STMicroelectronics S.A.
    Inventors: Nicolas Loubet, Didier Dutartre, Alexandre Talbot, Laurent Rubaldo
  • Publication number: 20100203710
    Abstract: A method of manufacturing a semiconductor device by thinning a substrate by grinding, and performing ion implantation. In a diode in which a P anode layer and an anode electrode are formed at a side of a right face of an N? drift layer, and an N+ cathode layer and a cathode electrode are formed at a side of a back face of the N? drift layer, an N cathode buffer layer is formed thick compared with the N+-type cathode layer between the N?-type drift layer and the N+ cathode layer, the buffer layer being high in concentration compared with the N? drift layer, and low compared with the N+ cathode layer. When a reverse bias voltage is applied, a depletion layer is stopped in the middle of the N cathode buffer layer, and thus prevented from reaching the N+ cathode layer, so that the leakage current is suppressed.
    Type: Application
    Filed: April 13, 2010
    Publication date: August 12, 2010
    Applicant: Fuji Electric Device Technology Co., Ltd.
    Inventor: Michio Nemoto
  • Publication number: 20100203718
    Abstract: Alternative methods of constructing a vertically offset structure are disclosed. An embodiment includes forming a flexible layer having first and second end portions, an intermediate portion coupling the first and second portions, and upper and lower surfaces. The distance between the upper and lower surfaces at the intermediate portion is less than the distance between the upper and lower surfaces at the first and second end portions. The first end portion is bonded to a base member. The second end portion of the flexible layer is deflected until the second end portion contacts the base member. The second end portion is bonded to the base member.
    Type: Application
    Filed: February 6, 2009
    Publication date: August 12, 2010
    Applicant: Honeywell International, Inc.
    Inventors: Michael Foster, Ijaz H. Jafri
  • Publication number: 20100203731
    Abstract: Embodiments of the current invention describe methods of processing a semiconductor substrate that include applying a zincating solution to the semiconductor substrate to form a zinc passivation layer on the titanium-containing layer, the zincating solution comprising a zinc salt, FeCl3, and a pH adjuster.
    Type: Application
    Filed: February 9, 2009
    Publication date: August 12, 2010
    Inventors: Bob Kong, Zhi-Wen Sun, Chi-I Lang, Jinhong Tong, Tony Chiang
  • Publication number: 20100203740
    Abstract: Methods used during the manufacture of a semiconductor device, such as one that includes forming a plurality of vertically oriented first support features. Each feature comprises first and second sidewalls and the first support features are formed to have a first pitch. A plurality of first mask spacers are formed, wherein one first mask spacer is formed on each first support feature sidewall, and each first mask spacer comprises an exposed, vertically oriented sidewall. A plurality of vertically oriented second support features are formed, wherein one second support feature is formed on the exposed, vertically oriented sidewall of each first mask spacer, and each second support feature is separated from an adjacent second support feature by a gap. A plurality of second mask features are formed, wherein one second mask feature is formed within each gap.
    Type: Application
    Filed: April 9, 2010
    Publication date: August 12, 2010
    Inventor: Mingtao Li
  • Publication number: 20100197143
    Abstract: A dry etching method for a silicon nitride film capable of improving throughput is provided. A dry etching method for dry-etching a silicon nitride film 103 includes dry-etching the silicon nitride film 103 without generating plasma by using a processing gas containing at least a hydrogen fluoride gas (HF gas) and a fluorine gas (F2 gas), with respect to a processing target object 100 including the silicon nitride film 103.
    Type: Application
    Filed: February 2, 2010
    Publication date: August 5, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Eiichi Nishimura, Yusuke Shimizu
  • Publication number: 20100193899
    Abstract: In a Semiconductor-on-Insulator (SeOI) wafer that includes a thin working layer made from one or more semiconductor material(s); a support layer, and a buried oxide (BOX) layer between the working layer and the support layer, a method of decreasing the thickness of the BOX layer by dissolving it at a dissolution rate that is controlled and set to be below 0.06 ?/sec in order to avoid increasing Dit. The Dit after dissolution of the BOX layer is typically below 1E12 cm-2 eV-1.
    Type: Application
    Filed: November 23, 2007
    Publication date: August 5, 2010
    Applicant: S.O.I.TEC SILICON ON INSULATOR TECHNOLOGIES
    Inventor: Oleg Kononchuk
  • Publication number: 20100197133
    Abstract: In a “via first/trench last” approach for forming metal lines and vias in a metallization system of a semiconductor device, a combination of two hard masks may be used, wherein the desired lateral size of the via openings may be defined on the basis of spacer elements, thereby resulting in significantly less demanding lithography conditions compared to conventional approaches.
    Type: Application
    Filed: January 25, 2010
    Publication date: August 5, 2010
    Inventors: Thomas Werner, Kai Frohberg, Frank Feustel
  • Patent number: 7768018
    Abstract: The preferred embodiment provides for development and use of an array of nanowires with a period smaller then 150 nm for applications such as an optical polarizer. To manufacture such structures the preferred embodiment employs a hard nanomask. This nanomask includes a substantially periodic array of substantially parallel elongated elements having a wavelike cross-section.
    Type: Grant
    Filed: May 31, 2006
    Date of Patent: August 3, 2010
    Assignee: Wostec, Inc.
    Inventors: Valery K. Smirnov, Dmitry S. Kibalov
  • Publication number: 20100190344
    Abstract: The invention includes methods in which silicon is removed from titanium-containing container structures with an etching composition having a phosphorus-and-oxygen-containing compound therein. The etching composition can, for example, include one or both of ammonium hydroxide and tetra-methyl ammonium hydroxide. The invention also includes methods in which titanium-containing whiskers are removed from between titanium-containing capacitor electrodes. Such removal can be, for example, accomplished with an etch utilizing one or more of hydrofluoric acid, ammonium fluoride, nitric acid and hydrogen peroxide.
    Type: Application
    Filed: March 30, 2010
    Publication date: July 29, 2010
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Prashant Raghu
  • Publication number: 20100187540
    Abstract: A group III nitride substrate on which an epitaxially grown layer of good quality can be formed, and a method of manufacturing the same are obtained. A GaN substrate is one of the following: a group III nitride substrate, wherein the number of atoms of an acid material per square centimeter of a surface is not more than 2×1014, and the number of silicon atoms per square centimeter of the surface is not more than 3×1013; a group III nitride substrate, wherein the number of silicon atoms per square centimeter of a surface is not more than 3×1013, and a haze level of the surface is not more than 5 ppm; and a group III nitride substrate, wherein the number of atoms of an acid, material per square centimeter of a surface is not more than 2×1014, and a haze level of the surface is not more than 5 ppm.
    Type: Application
    Filed: October 9, 2007
    Publication date: July 29, 2010
    Applicant: SUMITOMO ELECTRIC INDUSTRIES , LTD.
    Inventors: Keiji Ishibashi, Akihiro Hachigo, Masato Irikura, Seiji Nakahata
  • Publication number: 20100187543
    Abstract: Silicon carbide semiconductor device includes trench, in which connecting trench section is connected to straight trench section. Straight trench section includes first straight trench and second straight trench extending in parallel to each other. Connecting trench section includes first connecting trench perpendicular to straight trench section, second connecting trench that connects first straight trench and first connecting trench to each other, and third connecting trench that connects second straight trench and first connecting trench to each other. Second connecting trench extends at 30 degrees of angle with the extension of first straight trench. Third connecting trench extends at 30 degrees of angle with the extension of second straight trench. A manufacturing method according to the invention for manufacturing a silicon carbide semiconductor device facilitates preventing defects from being causes in a silicon carbide semiconductor device during the manufacture thereof.
    Type: Application
    Filed: December 1, 2009
    Publication date: July 29, 2010
    Applicant: FUJI ELECTRIC SYSTEMS CO., LTD.
    Inventors: Yasuyuki Kawada, Takeshi Tawara
  • Publication number: 20100190325
    Abstract: An embodiment of the present invention relates to a semiconductor device having a multi-channel and a method of fabricating the same. In an aspect, the semiconductor device includes a semiconductor substrate in which isolation layers are formed, a plurality of trenches formed within an active region of the semiconductor substrate, and a channel active region configured to connect opposite sidewalls within each trench region and having a surface used as a channel region.
    Type: Application
    Filed: April 5, 2010
    Publication date: July 29, 2010
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Dae Sik KIM
  • Publication number: 20100184296
    Abstract: A semiconductor device manufacturing method includes loading plural dry-etched wafers one by one in a container having a side door so as to be disposed substantially horizontally and in layers vertically therein; and blowing out a purge gas horizontally to those wafers loaded in the container for 30 sec or more after all the subject wafers are loaded in the container while the side door is open.
    Type: Application
    Filed: January 12, 2010
    Publication date: July 22, 2010
    Applicant: NEC Electronics Corporation
    Inventors: Hidetaka Nambu, Nobuo Hironaga, Futoshi Ota, Toru Yokoyama, Osamu Sugawara, Ryo Satou, Masato Tamura
  • Publication number: 20100181653
    Abstract: The invention relates to a method for recycling a substrate with a step-like residue in a first region of its surface, in particular along the edge of the substrate, which protrudes with respect to the surface of a remaining second region of the substrate, and wherein the first region comprises a modified zone, in particular an ion implanted zone, essentially in a plane corresponding to the plane of the surface of the remaining second region of the substrate and/or chamfered towards the edge of the substrate. To prevent the negative impact of contaminants in subsequent laminated wafer fabricating processes, the recycling method comprises a material removal step which is carried out such that the surface of the substrate in the first region is lying lower than the level of the modified zone before the material removal.
    Type: Application
    Filed: June 24, 2008
    Publication date: July 22, 2010
    Applicant: S.O.I.TEC SILICON ON INSULATOR TECHNOLOGIES, S.A.
    Inventors: Cecile Aulnette, Khalid Radouane
  • Publication number: 20100184297
    Abstract: [Task] In a proposed protection method, re-oxidation of a semiconductor wafer is prevented. The method is appropriate for fine patterned semiconductor device. A wafer is dry etched and is subjected to a next step of forming an electrode material film. The dry-etched wafer is maintained not re-oxidized until the next step. The dry etching reaction products are appropriately removed. [Means for Solution] A wafer, on which the dry etching reaction products remain, is protected by the reaction products. The wafer is held in an inert gas protective atmosphere having a pressure of 50 Pa or more and an atmospheric pressure or less, or is held in air equivalent to the air of a clean room or in a gas-mixture atmosphere of said air and an inert gas. The reaction products are decomposed and removed by heating immediately before the formation of an electrode-material film.
    Type: Application
    Filed: June 20, 2008
    Publication date: July 22, 2010
    Inventors: Mikio Takagi, Seiichi Takahashi
  • Publication number: 20100184295
    Abstract: A method for manufacturing a semiconductor die may have the steps of:—Providing a semiconductor substrate;—Processing the substrate to a point where shallow trench isolation (STI) can be formed;—Depositing at least one underlayer having a predefined thickness on the wafer;—Depositing a masking layer on top of the underlayer;—Shaping the masking layer to have areas of predefined depths;—Applying a photolithograthy process to expose all the areas where the trenches are to be formed; and—Etching the wafer to form silicon trenches wherein the depth of a trench depends on the location with respect to the masking layer area.
    Type: Application
    Filed: January 12, 2010
    Publication date: July 22, 2010
    Inventors: Justin H. Sato, Brian Hennes, Greg Stom, Robert P. Ma, Walter E. Lundy
  • Patent number: 7759152
    Abstract: A separated MEMS thermal actuator is disclosed which is largely insensitive to creep in the cantilevered beams of the thermal actuator. In the separated MEMS thermal actuator, a inlaid cantilevered drive beam formed in the same plane, but separated from a passive beam by a small gap. Because the inlaid cantilevered drive beam and the passive beam are not directly coupled, any changes in the quiescent position of the inlaid cantilevered drive beam may not be transmitted to the passive beam, if the magnitude of the changes are less than the size of the gap.
    Type: Grant
    Filed: March 10, 2009
    Date of Patent: July 20, 2010
    Assignee: Innovative Micro Technology
    Inventors: Gregory A. Carlson, John S. Foster, Christopher S. Gudeman, Paul J. Rubel
  • Publication number: 20100176403
    Abstract: An SiC substrate includes the steps of preparing a base substrate having a main surface and made of SiC, washing the main surface using a first alkaline solution, and washing the main surface using a second alkaline solution after the step of washing with the first alkaline solution. The SiC substrate has the main surface, and an average of residues on the main surface are equal to or larger than 0.2 and smaller than 200 in number.
    Type: Application
    Filed: January 12, 2010
    Publication date: July 15, 2010
    Applicant: Sumitomo Electric Industries, Ltd.
    Inventors: Makoto SASAKI, Shin Harada
  • Publication number: 20100173499
    Abstract: A method of removing a silicon nitride or a nitride-based bottom etch stop layer in a copper damascene structure by etching the bottom etch stop layer is disclosed, with the method using a high density, high radical concentration plasma containing fluorine and oxygen to minimize back sputtering of copper underlying the bottom etch stop layer and surface roughening of the low-k interlayer dielectric caused by the plasma.
    Type: Application
    Filed: March 19, 2010
    Publication date: July 8, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hun-Jan Tao, Ryan Chia-Jen Chen, Mong-Song Liang
  • Publication number: 20100173497
    Abstract: A method manufacturing a semiconductor integrated circuit device includes providing a substrate; sequentially forming a layer to be etched, a first layer, and a second layer on the substrate; forming on the first and second layers a first etch mask having a plurality of first line patterns separated from each other by a first pitch and extending in a first direction; sequentially performing first etching on the second layer and the first layer using the first etch mask to form an intermediate mask pattern with second and first patterns; forming on the intermediate mask pattern a second etch mask including a plurality of second line patterns separated from each other by a second pitch and extending in a second direction other than the first direction; performing second etching using the second etch mask on a portion of the second pattern so that the remaining portion of the second pattern is left on the first pattern; performing third etching using the second etch mask under different conditions than the secon
    Type: Application
    Filed: January 6, 2010
    Publication date: July 8, 2010
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Chong-Kwang Chang, Hong-Jae Shin, Nae-In Lee, Seo-Woo Nam, In-Keun Lee, Jung-Hoon Lee
  • Publication number: 20100173498
    Abstract: Methods of etching substrates employing a trim process for critical dimension control for integrated circuits are disclosed. In one embodiment, the method of etching includes providing a first hard mask layer over a target layer; providing a second hard mask layer over the first hard mask layer; providing a photoresist layer over the second hard mask layer; forming a pattern in the photoresist layer; transferring the pattern into the second hard mask layer; and trimming the second hard mask layer with the photoresist layer on top of the second hard mask layer. The top surface of the second hard mask layer is protected by the photoresist and the substrate is protected by the overlying first hard mask layer during the trim etch, which can therefore be aggressive.
    Type: Application
    Filed: February 2, 2010
    Publication date: July 8, 2010
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Mirzafer K. Abatchev, Krupaker Murali Subramanian, Baosuo Zhou
  • Publication number: 20100173441
    Abstract: A method for processing elongate substrates, including forming a plurality of parallel elongate openings (102) through a semiconductor wafer (104) to form a corresponding plurality of elongate substrates (106) between the openings, each of the elongate substrates (106) having opposite edges coplanar with opposite surfaces of the wafer, opposite faces (112) orthogonal to the wafer surfaces, and opposite ends by which the elongate substrates are interconnected; and applying securing means (402) to at least one of the opposite edges of each elongate substrate to engage the edges and thereby inhibit relative movement of the elongate substrates.
    Type: Application
    Filed: February 15, 2007
    Publication date: July 8, 2010
    Applicant: Transform Solar Pty Ltd
    Inventors: Andrew William Blakers, Klaus Johannes Weber, Vernie Allan Everett
  • Patent number: 7749793
    Abstract: A method of making a Lateral-Moving Micromachined Thermal Bimorph which provides the capability of achieving in-plane thermally-induced motion on a microchip, as opposed to the much more common out-of-plane, or vertical, motion seen in many devices. The present invention employs a novel fabrication process to allow the fabrication of a lateral bimorph in a fundamentally planar set of processes. In addition, the invention incorporates special design features that allow the bimorph to maintain material interfaces.
    Type: Grant
    Filed: January 22, 2009
    Date of Patent: July 6, 2010
    Assignee: Morgan Research Corporation
    Inventors: Robert Faye Elliott, Philip John Reiner
  • Publication number: 20100167507
    Abstract: A plasma doping apparatus implants an impurity element into a surface of a processing target object W by using plasma. The apparatus includes a high frequency power supply 72 configured to supply a high frequency bias power to a mounting table 34 installed within a processing chamber 32; a gas feed unit 96 configured to supply a doping gas containing an impurity element into the processing chamber 32; and a plasma generation unit 78 configured to generate the plasma within the processing chamber 32. In accordance with this apparatus, a portion doped with the impurity element can be made very thin, and the impurity element can be rapidly doped in a high concentration.
    Type: Application
    Filed: May 13, 2008
    Publication date: July 1, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masahiro Horigome, Yoshihiro Ishida
  • Publication number: 20100167433
    Abstract: A piezoelectric inkjet printhead including an upper substrate formed of a single crystal silicon substrate or an SOI substrate and having an ink inlet therethrough, and a lower substrate formed of an SOI substrate having a sequentially stacked structure with a first silicon layer, an intervening oxide layer, and a second silicon layer in which a manifold, pressure chambers, and dampers are formed in the second silicon layer by wet or dry etching, and nozzles are formed through the intervening oxide layer and the first silicon layer by dry etching, and a method of manufacturing the same.
    Type: Application
    Filed: March 12, 2010
    Publication date: July 1, 2010
    Applicant: Samsung Electronics Co., Ltd
    Inventors: Jae-chang Lee, Jae-woo Chung, Kyo-yool Lee, Chang-seung Lee, Sung-gyu Kang
  • Publication number: 20100167544
    Abstract: A substrate processing apparatus includes an enclosure defining a reaction chamber, a substrate holder in the reaction chamber, and a door assembly. The door assembly has a substrate entrance with a tunnel extending to the reaction chamber, a door movable with respect to the substrate entrance, and a pattern of features. The features are located along a portion of the substrate entrance defining the tunnel. The features promote sticking of processing byproducts, produced in the reaction chamber, to the substrate entrance. A door mates with the entrance to form a seal that reduces flow through the tunnel to control the amount of byproducts that enter the tunnel.
    Type: Application
    Filed: October 12, 2009
    Publication date: July 1, 2010
    Applicant: STMicroelectronics, Inc.
    Inventor: Justin Broeker
  • Publication number: 20100167548
    Abstract: A method for forming a fine pattern in a semiconductor device using a quadruple patterning includes forming a first partition layer over a first material layer which is formed over a substrate, performing a photo etch process on the first partition layer to form a first partition pattern, performing an oxidation process to form a first spacer sacrificial layer over a surface of the first partition pattern, forming a second spacer sacrificial layer over the substrate structure, forming a second partition layer filling gaps between the first partition pattern, removing the second spacer sacrificial layer, performing an oxidation process to form a third spacer sacrificial layer over a surface of the second partition layer and define a second partition pattern, forming a third partition pattern filling gaps between the first partition pattern and the second partition pattern, and removing the first and third spacer sacrificial layers.
    Type: Application
    Filed: June 27, 2009
    Publication date: July 1, 2010
    Inventor: Won-Kyu Kim