Formation Of Electrically Isolated Lateral Semiconductive Structure Patents (Class 438/400)
  • Patent number: 7781267
    Abstract: A semiconductor device and associated method for forming. The semiconductor device comprises an electrically conductive nanotube formed over a first electrically conductive member such that a first gap exists between a bottom side the electrically conductive nanotube and a top side of the first electrically conductive member. A second insulating layer is formed over the electrically conductive nanotube. A second gap exists between a top side of the electrically conductive nanotube and a first portion of the second insulating layer. A first via opening and a second via opening each extend through the second insulating layer and into the second gap.
    Type: Grant
    Filed: May 19, 2006
    Date of Patent: August 24, 2010
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey Peter Gambino, Son Van Nguyen
  • Patent number: 7776708
    Abstract: A system and method is disclosed that prevents the formation of a vertical bird's beak structure in the manufacture of a semiconductor device. A polysilicon filled trench is formed in a substrate of the semiconductor device. A composite layer stack is formed over the trench that has a nitride layer as a top layer. A plasma enhanced chemical vapor deposition (PECVD) oxide cap layer is formed over the nitride layer over the trench area. A mask and etch process is then applied to etch the composite layer stack adjacent to the polysilicon filled trench. A field oxide process is applied to form field oxide portions in the substrate adjacent to the trench. Because no field oxide is placed over the trench there is no formation of a vertical bird's beak structure. A gate oxide layer is applied to protect the trench from unwanted effects of subsequent processing steps.
    Type: Grant
    Filed: August 11, 2005
    Date of Patent: August 17, 2010
    Assignee: National Semiconductor Corporation
    Inventor: Charles A. Dark
  • Patent number: 7776672
    Abstract: On the top surface of a thin semiconductor wafer, top surface structures forming a semiconductor chip are formed. The top surface of the wafer is affixed to a supporting substrate with a double-sided adhesive tape. Then, from the bottom surface of the thin semiconductor wafer, a trench, which becomes a scribing line, is formed by wet anisotropic etching so that side walls of the trench are exposed. On the side walls of the trench with the crystal face exposed, an isolation layer with a conductivity type different from that of the semiconductor wafer for holding a reverse breakdown voltage is formed simultaneously with a collector region of the bottom surface diffused layer by ion implantation, followed by annealing with laser irradiation. The side walls form a substantially V-shaped or trapezoidal-shaped cross section, with an angle of the side wall relative to the supporting substrate being 30-70°. The double-sided adhesive tape is then removed from the top surface to produce semiconductor chips.
    Type: Grant
    Filed: March 27, 2006
    Date of Patent: August 17, 2010
    Assignee: Fuji Electric Systems Co., Ltd.
    Inventors: Haruo Nakazawa, Kazuo Shimoyama, Manabu Takei
  • Publication number: 20100203700
    Abstract: A method of forming a semiconductor device includes preparing a substrate having a recessed area. A silicon oxide layer is formed at the recessed area. A catalytic nitridation treatment is performed for an upper portion of the silicon oxide layer to form a nitridation reactant on the upper portion of the silicon oxide layer. A dielectric layer is formed on the silicon oxide layer where the nitridation reactant is formed. The dielectric layer is annealed. According to the foregoing method, recession of the dielectric layer is prevented to fabricate a high-quality semiconductor device.
    Type: Application
    Filed: January 13, 2010
    Publication date: August 12, 2010
    Inventors: Kyungmun Byun, Deok-Young Jung, Ju-Seon Goo, Eunkee Hong
  • Patent number: 7772699
    Abstract: A semiconductor device includes an electrode pad formed on a pad forming surface of a semiconductor integrated circuit chip, and a step formed on the pad forming surface to surround the electrode pad. A method of manufacturing the semiconductor device includes forming a metal film on a pad forming surface of a semiconductor integrated circuit chip, forming an electrode pad on a pad forming surface by selectively etching a metal film using a first mask pattern and forming a step to surround the electrode pad by selectively etching the pad forming surface using a second mask pattern.
    Type: Grant
    Filed: February 22, 2008
    Date of Patent: August 10, 2010
    Assignee: NEC Corporation
    Inventor: Shinya Hirata
  • Publication number: 20100197112
    Abstract: Semiconductor devices and methods of manufacture thereof are disclosed. In a preferred embodiment, a semiconductor device includes a workpiece and a trench formed within the workpiece. The trench has an upper portion and a lower portion, the upper portion having a first width and the lower portion having a second width, the second width being greater than the first width. A first material is disposed in the lower portion of the trench at least partially in regions where the second width of the lower portion is greater than the first width of the upper portion. A second material is disposed in the upper portion of the trench and at least in the lower portion of the trench beneath the upper portion.
    Type: Application
    Filed: April 16, 2010
    Publication date: August 5, 2010
    Inventors: Armin Tilke, Frank Huebinger, Hermann Wendt
  • Publication number: 20100197091
    Abstract: A semiconductor device has a thicker gate dielectric layer (gate-insulation film 16 of, e.g., 40 nm) for a high voltage PMOS transistor (Tr1) that is formed simultaneously in a first thermal oxidation process together with the formation of LOCOS isolation structures (3) for element separation of low voltage PMOS and NMOS transistors (Tr3, Tr4), and has a thinner gate dielectric layer (gate-insulation film 25 of, e.g., 7 nm) for a high voltage NMOS transistor (Tr2) that is formed simultaneously in a second thermal oxidation process together with the formation of gate dielectric layers (gate-insulation films 33, 42) of low voltage PMOS and NMOS transistors (Tr3, Tr4).
    Type: Application
    Filed: April 6, 2010
    Publication date: August 5, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Yoichi OKUMURA
  • Patent number: 7763521
    Abstract: A metal wiring and method for forming the same are provided. A first conductive layer is formed on a semiconductor substrate, and an insulating layer is formed on the first conductive layer. A via and a trench are formed in the insulating layer, and a second conductive layer is formed by burying metal in the via and the trench. The insulating layer also includes materials with a low dielectric constant filled in second vias.
    Type: Grant
    Filed: August 29, 2007
    Date of Patent: July 27, 2010
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Kyung Min Park
  • Publication number: 20100184268
    Abstract: A coating composition for forming an oxide film, which can suppress the phenomenon of an increased wet etching rate caused by a part of the SOG film embedded inside a groove becoming low-density, and which can suppress the volume expansion coefficient to a low level, and a method for producing a semiconductor device using the same are provided. An oxide film is formed inside a groove by: coating a coating composition for forming an oxide film, which contains a polysilazane or a hydrogenated silsesquioxane, and a polysilane, on a substrate having a groove; and thereafter heat treatment in an oxidizing atmosphere. This method is suitable for forming a device isolation region and a wiring interlayer dielectric film.
    Type: Application
    Filed: March 30, 2010
    Publication date: July 22, 2010
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Toshiyuki HIROTA
  • Publication number: 20100184267
    Abstract: To form a good quality silicon oxide film provided with both a superior Qbd characteristic and Rd characteristic, a wafer W is loaded into a plasma treatment apparatus where the surface of a silicon layer 501 of the wafer W is treated by plasma oxidation to form on the silicon layer 501 to a film thickness T1 a silicon oxide film 503. Next, the wafer W on which the silicon oxide film 503 is formed is transferred to a thermal oxidation treatment apparatus where the silicon oxide film 503 is treated by thermal oxidation to thereby form a silicon oxide film 505 having a target film thickness T2.
    Type: Application
    Filed: August 31, 2009
    Publication date: July 22, 2010
    Applicants: TOKYO ELECTRON LIMITED, University of Tsukuba
    Inventors: Yoshiro Kabe, Junichi Kitagawa, Kikuo Yamabe
  • Publication number: 20100173469
    Abstract: Some methods are directed to manufacturing charge trap-type non-volatile memory devices. An isolation layer pattern can be formed that extends in a first direction in a substrate. A recess unit is formed in the substrate by recessing an exposed surface of the substrate adjacent to the isolation layer pattern. A tunnel insulating layer and a charge trap layer are sequentially formed on the substrate. The tunnel insulating layer and the charge trap layer are patterned to form an isolated island-shaped tunnel insulating layer pattern and an isolated island-shaped charge trap layer pattern by etching defined regions of the substrate, the isolation layer pattern, the tunnel insulating layer, and the charge trap layer until a top surface of the charge trap layer that is disposed on a bottom surface of the recess unit is aligned with a top surface of the isolation layer pattern.
    Type: Application
    Filed: January 4, 2010
    Publication date: July 8, 2010
    Inventors: Hak-Sun Lee, Kyoung-Sub Shin, Jeong-Dong Choe
  • Publication number: 20100167490
    Abstract: Provided are methods of fabricating flash memory devices that may prevent a short circuit from occurring between cell gate lines. Methods of fabricating such flash memory devices may include forming gate lines including a series of multiple cell gate lines and multiple selection gate lines. Each gate line may include a stacked structure of a tunnel insulating layer, a floating gate, a gate insulating layer, and/or a polysilicon layer operable to be a control gate, all formed on a semiconductor substrate. Methods may include forming a first insulating layer that selectively fills gaps between the cell gate lines from the bottom up and between adjacent ones of the cell gate lines and the selection gate lines, and does not fill a space located on outer sides of the selection gate lines that are opposite the plurality of cell gate lines. A spacer may be formed on the outer sides of the selection gate lines that are opposite to the cell gate lines, after forming the first insulating layer.
    Type: Application
    Filed: December 3, 2009
    Publication date: July 1, 2010
    Inventors: Jong-wan Choi, Yong-soon Choi, Bo-young Lee, Eunkee Hong, Eun-kyung Baek, Ju-seon Goo
  • Publication number: 20100167491
    Abstract: A method for fabricating a flash memory device includes forming device isolation films in a semiconductor substrate, defining active regions between the device isolation films, and patterning floating gates on the semiconductor substrate to correspond to the active regions. Portions where the active regions and the floating gates are not overlap with one another are within reference offset ranges, respectively.
    Type: Application
    Filed: December 14, 2009
    Publication date: July 1, 2010
    Inventor: Min-Gon Lee
  • Publication number: 20100164051
    Abstract: A semiconductor device includes a semiconductor substrate with an isolation layer formed in the semiconductor substrate to delimit active regions. Recess patterns for gates are defined in the active regions and the isolation layer. Gate patterns are formed in and over the recess patterns for gates, and a gate spacer is formed to cover the gate patterns. The recess patterns for gates have a first depth in the active regions and a second depth, which is greater than the first depth, in the isolation layer. Gaps are created between the gate patterns and upper parts of the recess patterns for gates that are defined in the isolation layer. The gate spacer fills the gaps and protects the gate spacer so as to prevent bridging.
    Type: Application
    Filed: March 5, 2009
    Publication date: July 1, 2010
    Inventors: Kwang Kee CHAE, Jae Seon YU, Jae Kyun LEE
  • Publication number: 20100163994
    Abstract: In SOI devices, the PN junction of circuit elements, such as substrate diodes, is formed in the substrate material on the basis of the buried insulating material that provides increased etch resistivity during wet chemical cleaning and etch processes. Consequently, undue exposure of the PN junction formed in the vicinity of the sidewalls of the buried insulating material may be avoided, which may cause reliability concerns in conventional SOI devices comprising a silicon dioxide material as the buried insulating layer.
    Type: Application
    Filed: December 16, 2009
    Publication date: July 1, 2010
    Inventors: Andreas Kurz, Roman Boschke, Christoph Schwan, John Morgan
  • Publication number: 20100155829
    Abstract: A device for protecting a semiconductor device from electrostatic discharge may include a high voltage first conductivity type well formed in a semiconductor substrate. A first stack region may have a first conductivity type drift region, and a first conductivity type impurity region stacked in succession in the high voltage first conductivity type well. A second stack region may have a second conductivity type drift region, and a second conductivity type impurity region stacked in succession in the high voltage first conductivity type well. A device isolating film formed between the first stack region and the second stack region for isolating the first stack region from the second stack region.
    Type: Application
    Filed: December 4, 2009
    Publication date: June 24, 2010
    Inventor: Joon-Tae Jang
  • Patent number: 7741192
    Abstract: A thin semiconductor wafer, on which a top surface structure and a bottom surface structure that form a semiconductor chip are formed, is affixed to a supporting substrate by a double-sided adhesive tape. Then, on the thin semiconductor wafer, a trench to become a scribing line is formed by wet anisotropic etching with a crystal face exposed so as to form a side wall of the trench. On the side wall of the trench with the crystal face thus exposed, an isolation layer for holding a reverse breakdown voltage is formed by ion implantation and low temperature annealing or laser annealing so as to be extended to the top surface side while being in contact with a p collector region as a bottom surface diffused layer. Then, laser dicing is carried out to neatly dice a collector electrode, formed on the p collector region, together with the p collector region, without presenting any excessive portions and any insufficient portions under the isolation layer.
    Type: Grant
    Filed: August 19, 2005
    Date of Patent: June 22, 2010
    Assignee: Fuji Electric Systems Co., Ltd.
    Inventors: Kazuo Shimoyama, Manabu Takei, Haruo Nakazawa
  • Patent number: 7730611
    Abstract: A method of accurately placing an object with a pick and place machine provides raw material. A desired surface topography is created in the raw material. The raw material is diced into parts using a bevel cut so that each of the parts has bevel surfaces. A fixture is provided that has a plurality of spaced cavities with each cavity having bevel surfaces constructed and arranged to mate with the bevel surfaces of an associated part. A pick and place machine picks and places each part into an associated cavity such that the bevel surfaces of the part mates with the bevel surfaces of the cavity.
    Type: Grant
    Filed: March 21, 2008
    Date of Patent: June 8, 2010
    Assignee: Siemens Energy & Automation, Inc.
    Inventors: Ulf Becker, David Halk
  • Patent number: 7732813
    Abstract: An image sensor and a method of manufacturing the same are provided. A metal wiring layer is formed on a semiconductor substrate including a circuit region, and first conductive layers are formed on the metal layer separated by a pixel isolation layer. An intrinsic layer is formed on the first conductive layers, and a second conductive layer is formed on the intrinsic layer.
    Type: Grant
    Filed: August 21, 2007
    Date of Patent: June 8, 2010
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Jin Ha Park
  • Patent number: 7727851
    Abstract: A method of measuring a shifted extent of a shifted epitaxy layer by an N+ buried layer using difference between contact resistances is described. An N-type buried layer comprising a stepped portion is formed at a P-type substrate. An epitaxy layer is formed, comprising a stepped portion, on the N-type buried layer. A plug is formed in the epitaxy layer. An insulating layer is formed on the epitaxy layer. A plurality of contacts are formed in the insulating layer. Resistances of the plurality of contacts are measured and a shifting extent of the stepped portion of the epitaxy layer is calculated using the plurality of contact resistances.
    Type: Grant
    Filed: December 26, 2006
    Date of Patent: June 1, 2010
    Assignee: Dongbu Electronics
    Inventor: Woong Je Sung
  • Publication number: 20100129979
    Abstract: The disclosed semiconductor device includes a plurality of active patterns including first active patterns which protrude from a semiconductor substrate and have a first width and second active patterns which are connected to upper ends of the respective first active patterns and have a second width greater than the first width. The semiconductor device further includes isolation patterns respectively located between the active patterns to insulate the active patterns from one another.
    Type: Application
    Filed: January 28, 2010
    Publication date: May 27, 2010
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Shin Gyu CHOI
  • Patent number: 7723203
    Abstract: A method of forming an alignment key with a capping layer in a semiconductor device without an additional mask formation process, and a method of fabricating a semiconductor device using the same, may be provided. The method of forming an alignment key may include forming an isolation layer confining an active region in a chip region of a semiconductor substrate, and forming an alignment key having a step height difference with respect to the surface of the semiconductor substrate in a scribe lane. An at least one formation layer for forming an element may be formed on the substrate, and patterned, to form an element-forming pattern on the semiconductor substrate in the chip region, and a capping layer capping the alignment key on the semiconductor substrate in the scribe lane.
    Type: Grant
    Filed: September 21, 2006
    Date of Patent: May 25, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Myoung-Soo Kim
  • Patent number: 7719090
    Abstract: A semiconductor device includes: a semiconductor substrate having a p-MOS region; an element isolation region formed in a surface portion of the semiconductor substrate and defining p-MOS active regions in the p-MOS region; a p-MOS gate electrode structure formed above the semiconductor substrate, traversing the p-MOS active region and defining a p-MOS channel region under the p-MOS gate electrode structure; a compressive stress film selectively formed above the p-MOS active region and covering the p-MOS gate electrode structure; and a stress released region selectively formed above the element isolation region in the p-MOS region and releasing stress in the compressive stress film, wherein a compressive stress along the gate length direction and a tensile stress along the gate width direction are exerted on the p-MOS channel region. The performance of the semiconductor device can be improved by controlling the stress separately for the active region and element isolation region.
    Type: Grant
    Filed: July 30, 2008
    Date of Patent: May 18, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Shigeo Satoh
  • Publication number: 20100120217
    Abstract: An SRAM device includes a substrate having at least one cell active region in a cell array region and a plurality of peripheral active regions in a peripheral circuit region, a plurality of stacked cell gate patterns in the cell array region, and a plurality of peripheral gate patterns disposed on the peripheral active regions in the peripheral circuit region. Metal silicide layers are disposed on at least one portion of the peripheral gate patterns and on the semiconductor substrate near the peripheral gate patterns, and buried layer patterns are disposed on the peripheral gate patterns and on at least a portion of the metal silicide layers and the portions of the semiconductor substrate near the peripheral gate patterns. An etch stop layer and a protective interlayer-insulating layer are disposed around the peripheral gate patterns and on the cell array region. Methods of forming an SRAM device are also disclosed.
    Type: Application
    Filed: January 14, 2010
    Publication date: May 13, 2010
    Inventors: Jae-Hoon Jang, Soon-Moon Jung, Young-Seop Rah, Han-Byung Park
  • Publication number: 20100112778
    Abstract: A memory cell is provided including a tunnel dielectric layer overlying a semiconductor substrate. The memory cell also includes a floating gate having a first portion overlying the tunnel dielectric layer and a second portion in the form of a nanorod extending from the first portion. In addition, a control gate layer is separated from the floating gate by an intergate dielectric layer.
    Type: Application
    Filed: January 13, 2010
    Publication date: May 6, 2010
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Gurtej S. Sandhu, D.V. Nirmal Ramaswamy
  • Patent number: 7704823
    Abstract: To form a semiconductor device, an electrode layer is formed over a semiconductor body. The electrode layer includes an amorphous portion. A liner, e.g., a stress-inducing liner, is deposited over the electrode layer. The electrode layer is annealed to recrystallize the amorphous portion of the electrode layer. The liner can then be removed and an electronic component (e.g., a transistor) that includes a feature (e.g., a gate) formed from the electrode layer can be formed.
    Type: Grant
    Filed: September 15, 2006
    Date of Patent: April 27, 2010
    Assignee: Infineon Technologies AG
    Inventor: Richard Lindsay
  • Patent number: 7704849
    Abstract: A method of etching trenches into silicon of a semiconductor substrate includes forming a mask over silicon of a semiconductor substrate, with the mask comprising trenches formed there-through. Plasma etching is conducted to form trenches into the silicon of the semiconductor substrate using the mask. In one embodiment, the plasma etching includes forming an etching plasma using precursor gases which include SF6, an oxygen-containing compound, and a nitrogen-containing compound. In one embodiment, the plasma etching includes an etching plasma which includes a sulfur-containing component, an oxygen-containing component, and NFx.
    Type: Grant
    Filed: December 3, 2007
    Date of Patent: April 27, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Krupakar M. Subramanian
  • Patent number: 7700466
    Abstract: In one embodiment, a mandrel and an outer dummy spacer may be employed to form a first conductivity type region. The mandrel is removed to form a recessed region wherein a second conductivity type region is formed. In another embodiment, a mandrel is removed from within shallow trench isolation to form a recessed region, in which an inner dummy spacer is formed. A first conductivity type region and a second conductivity region are formed within the remainder of the recessed region. An anneal is performed so that the first conductivity type region and the second conductivity type region abut each other by diffusion. A gate electrode is formed in self-alignment to the p-n junction between the first and second conductivity regions. The p-n junction controlled by the gate electrode, which may be sublithographic, constitutes an inventive tunneling effect transistor.
    Type: Grant
    Filed: July 26, 2007
    Date of Patent: April 20, 2010
    Assignee: International Business Machines Corporation
    Inventors: Roger A. Booth, Jr., Kangguo Cheng, Jack A. Mandelman
  • Patent number: 7701034
    Abstract: An embodiment of the invention provides a semiconductor integrated circuit device having a dummy pattern for improving micro-loading effects. The device comprises an active region in a substrate and an isolation region in the substrate adjacent the active region. A plurality of dummy patterns are formed over the isolation region, wherein each dummy pattern is aligned parallel to and lengthwise dimension of the active region. The dummy patterns may have non-uniform spacing or non-uniform aspect ratios. The dummy pattern may have, in plan view, a rectangular shape, wherein its length is greater than the lengthwise dimension of the active region. The spacing between the dummy pattern and the active region may be less than about 1500 nm.
    Type: Grant
    Filed: November 17, 2005
    Date of Patent: April 20, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Harry Chuang, Kong-Beng Thei, Cheng-Cheng Kuo
  • Publication number: 20100090278
    Abstract: An isolation area (10) is provided over a drift region (12) with a spacing (d) to a contact area (4) provided for a drain connection (D). The isolation area is used as an implantation mask, in order to produce a dopant profile of the drift region in which the dopant concentration increases toward the drain. The implantation of the dopant can be performed instead before the production of the isolation area, and the later production of the isolation area (10) changes the dopant profile also in a way that the dopant concentration increases toward the drain.
    Type: Application
    Filed: October 13, 2009
    Publication date: April 15, 2010
    Applicant: Austriamicrosystems AG
    Inventor: Georg Rõhrer
  • Publication number: 20100078730
    Abstract: A semiconductor device includes a gate electrode. The gate electrode includes a silicide layer obtained by siliciding porous silicon or organic silicon.
    Type: Application
    Filed: December 8, 2009
    Publication date: April 1, 2010
    Applicant: PANASONIC CORPORATION
    Inventors: Yoichi YOSHIDA, Akihiko Tsuzumitani, Kenshi Kanegae
  • Patent number: 7687356
    Abstract: A method of forming a silicon germanium conduction channel under a gate stack of a semiconductor device, the gate stack being formed on a silicon layer on an insulating layer, the method including growing a silicon germanium layer over said silicon layer and heating the device such that germanium condenses in the silicon layer such that a silicon germanium channel is formed between the gate stack and the insulating layer.
    Type: Grant
    Filed: March 5, 2007
    Date of Patent: March 30, 2010
    Assignee: STMicroelectronics Crolles 2 SAS
    Inventors: Philippe Coronel, Arnaud Pouydebasque
  • Patent number: 7687367
    Abstract: On the principal surface of a silicon substrate, a side spacer made of silicon nitride is formed on the side wall of a lamination including a silicon oxide film, a silicon nitride film and a silicon oxide film. Thereafter, a channel stopper ion doped region is formed by implanting impurity ions by using as a mask the lamination, side spacer and resist layer. After the resist layer and side spacer are removed, a field oxide film is formed through selective oxidation using the lamination as a mask, and a channel stopper region corresponding to the ion doped region is formed. After the lamination is removed, a circuit device such as a MOS type transistor is formed in each device opening of the field oxide film.
    Type: Grant
    Filed: September 6, 2007
    Date of Patent: March 30, 2010
    Assignee: Yamaha Corporation
    Inventors: Syuusei Takami, Hiroaki Fukami
  • Publication number: 20100047993
    Abstract: A method for semiconductor processing provides a DSB semiconductor body having a first crystal orientation layer, and a second crystal orientation layer, and a border region disposed between the first and second crystal orientations. A high-k metal gate stack is deposited over the first crystal orientation layer that comprises an insulation layer, a high-k dielectric layer, a first metal layer, and a second metal layer thereon.
    Type: Application
    Filed: August 19, 2008
    Publication date: February 25, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Angelo Pinto, Manuel A. Quevedo-Lopez
  • Publication number: 20100035394
    Abstract: A semiconductor device can be formed without use of an STI process. An insulating layer is formed over a semiconductor body. Portions of the insulating layer are removed to expose the semiconductor body, e.g., to expose bare silicon. A semiconductor material, e.g., silicon, is grown over the exposed semiconductor body. A device, such as a transistor, can then be formed in the grown semiconductor material.
    Type: Application
    Filed: October 14, 2009
    Publication date: February 11, 2010
    Inventors: Jiang Yan, Danny Pak-Chum Shum
  • Publication number: 20100029057
    Abstract: A silicone resin which is represented by the following rational formula (1) and solid at 120° C.: (H2SiO)n(HSiO1.5)m(SiO2)k??(1) wherein n, m and k are each a number, with the proviso that, when n+m+k=1, n is not less than 0.5, m is more than 0 and not more than 0.95 and k is 0 to 0.2. The silicone resin of the present invention can be advantageously used in a composition for forming a trench isolation having a high aspect ratio.
    Type: Application
    Filed: September 21, 2007
    Publication date: February 4, 2010
    Applicant: JSR Corporation
    Inventors: Haruo Iwasawa, Tatsuya Sakai, Yasuo Matsuki, Kentaro Tamaki
  • Publication number: 20100025726
    Abstract: A lateral device includes a gate region connected to a drain region by a drift layer. An insulation region adjoins the drift layer between the gate region and the drain region. Permanent charges are embedded in the insulation region, sufficient to cause inversion in the insulation region.
    Type: Application
    Filed: April 30, 2009
    Publication date: February 4, 2010
    Applicant: MAXPOWER SEMICONDUCTOR INC.
    Inventors: Amit Paul, Mohamed N. Darwish
  • Publication number: 20100022057
    Abstract: The semiconductor device includes a device isolation structure formed in a semiconductor substrate to define an active region having a recess region at a lower part of sidewalls thereof. The semiconductor device additionally has a fin channel region protruded over the device isolation structure in a longitudinal direction of a gate region; a gate insulating film formed over the semiconductor substrate including the protruded fin channel region; and a gate electrode formed over the gate insulating film to fill up the protruded fin channel region.
    Type: Application
    Filed: September 29, 2009
    Publication date: January 28, 2010
    Applicant: Hynix Semiconductor Inc.
    Inventors: Sung Woong Chung, Sang Don Lee
  • Publication number: 20100015775
    Abstract: A method for fabricating a semiconductor device with a recess gate includes providing a substrate, forming an isolation layer over the substrate to define an active region, forming mask patterns with a first width opening exposing a region where recess patterns are to be formed, and a second width opening smaller than the first width and exposing the isolation layer, forming a passivation layer along a height difference of the mask patterns, etching the substrate using the passivation layer and the mask patterns as an etch barrier to form recess patterns, removing the passivation layer and the mask patterns, and forming gate patterns protruding from the substrate to fill the recess patterns.
    Type: Application
    Filed: December 30, 2008
    Publication date: January 21, 2010
    Applicant: HYNIX SEMICONDUCTOR, INC.
    Inventors: Hae-Jung Lee, Jae-Seon Yu, Jae-Kyun Lee, Sang-Rok Oh
  • Publication number: 20100009546
    Abstract: The present invention is a process for spin-on deposition of a silicon dioxide-containing film under oxidative conditions for gap-filling in high aspect ratio features for shallow trench isolation used in memory and logic circuit-containing semiconductor substrates, such as silicon wafers having one or more integrated circuit structures contained thereon, comprising the steps of: providing a semiconductor substrate having high aspect ratio features; contacting the semiconductor substrate with a liquid formulation comprising a low molecular weight aminosilane; forming a film by spreading the liquid formulation over the semiconductor substrate; heating the film at elevated temperatures under oxidative conditions. Compositions for this process are also set forth.
    Type: Application
    Filed: June 26, 2009
    Publication date: January 14, 2010
    Applicant: Air Products and Chemicals, Inc.
    Inventors: Scott Jeffrey Weigel, Mark Leonard O'Neill, Bing Han, Hansong Cheng, Manchao Xiao, Chia-Chien Lee
  • Patent number: 7645680
    Abstract: Disclosed is a method of manufacturing an isolation layer pattern in a semiconductor device and an isolation layer pattern in a semiconductor device. A device at a low voltage device formation region may be substantially immune to electric fields from a high voltage device formation region. A field insulation film pattern in a low voltage device formation region (e.g. a logic region) may implement a relatively small design rule at an isolation layer pattern. A method of manufacturing an isolation layer pattern in a semiconductor device (e.g. which may embody a device relatively immune to an electric field from a high voltage device formation region) may include field insulation film patterns with a relatively small design rule in a low voltage device formation region (e.g. a logic region).
    Type: Grant
    Filed: October 27, 2006
    Date of Patent: January 12, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Chang Nam Kim
  • Publication number: 20090321870
    Abstract: A method of fabricating a shuttle wafer is provided. First, a wafer including a number of shots is provided. Each of the shots includes a number of dies. A material layer is then formed on the wafer. After that, a shuttle mask having a number of IC designs is provided. A first IC design corresponds to a first die of each of the shots. A portion of the IC designs on the shuttle mask is covered for exposing the first IC design. Thereafter, the first IC designs of the shuttle mask are transferred onto the material layer, so as to form at least an effective IC pattern on the first die of each of the shots and to form an ineffective IC pattern on each of the other dies of each of the shots.
    Type: Application
    Filed: June 27, 2008
    Publication date: December 31, 2009
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Weng-Yi Chen, Wen-Sheng Chien
  • Patent number: 7638396
    Abstract: A method for fabricating a semiconductor device comprises providing a silicon-containing substrate with first, second, and third regions. First, second, and third gate stacks respectively overlie a portion of the silicon-containing substrate in the first, second, and third regions. A spacer is formed on opposing sidewalls of each of the first, second, and third gate stacks, the spacer overlying a portion of the silicon-containing substrate in the first, second, and third regions, respectively. A source/drain region is formed in a portion of the silicon-containing substrate in the first, second, and third regions, with the source/drain region adjacent to the first, second, and third gate stacks, respectively. The first, second, and third gate stacks have first, second, and third gate dielectric layers of various thicknesses and at least one thereof with a relatively thin thickness is treated by NH3-plasma, having a nitrogen-concentration of about 1013˜1021 atoms/cm2 therein.
    Type: Grant
    Filed: March 20, 2007
    Date of Patent: December 29, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Da-Yuan Lee, Chi-Chun Chen, Shih-Chang Chen
  • Publication number: 20090315139
    Abstract: A patterning method includes defining, in the case of an electric current which exceeds an allowable limit flowing between first conduction type well regions arranged in a semiconductor substrate, a first pattern between the first conduction type well regions; defining a second pattern by removing, in the case of a first region in which arrangement is inhibited being in the first pattern, the first region from the first pattern; defining a third pattern by removing, in the case of a second region which exceeds a fabrication limit being in the second pattern, the second region from the second pattern; and using the third pattern as a dummy active region in a second conduction type well region arranged in the semiconductor substrate.
    Type: Application
    Filed: March 19, 2009
    Publication date: December 24, 2009
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventors: Mitsuaki IGETA, Masahiro SUEDA, Rikio TAKASE, Akihiro USUJIMA
  • Publication number: 20090315114
    Abstract: Embodiments relate to an improved tri-gate device having gate metal fills, providing compressive or tensile stress upon at least a portion of the tri-gate transistor, thereby increasing the carrier mobility and operating frequency. Embodiments also contemplate method for use of the improved tri-gate device.
    Type: Application
    Filed: June 23, 2008
    Publication date: December 24, 2009
    Applicant: Intel Corporation
    Inventors: Titash Rakshit, Martin Giles, Ravi Pillarisetty, Jack T. Kavalieros
  • Publication number: 20090309147
    Abstract: Provided are a semiconductor memory device whereby generation of dishing during planarization of a peripheral circuit region is suppressed, and a method of fabricating the semiconductor memory device. The semiconductor memory device includes a semiconductor substrate comprising a first active area in a memory cell region and a second active area in a peripheral circuit region; a plurality of first isolation films and a plurality of second isolation films protruding from a surface of the semiconductor substrate and defining the first active area and the second active area, respectively; and at least one polish stopper film formed within the second active area and protruding from the surface of the semiconductor substrate.
    Type: Application
    Filed: June 12, 2009
    Publication date: December 17, 2009
    Inventors: Hong-soo Kim, Su-in Baek, Seung-wook Choi
  • Patent number: 7632736
    Abstract: In general, in one aspect, a method includes forming a spacer layer over a substrate having patterned stacks formed therein and trenches between the patterned stacks. A sacrificial polysilicon layer is deposited over the substrate to fill the trenches. A patterning layer is deposited over the substrate and patterned to define contact regions over at least a portion of the trenches. The sacrificial polysilicon layer is etched using the patterned patterning layer to form open regions.
    Type: Grant
    Filed: December 18, 2007
    Date of Patent: December 15, 2009
    Assignee: Intel Corporation
    Inventors: Max Wei, Been-Jon Woo
  • Publication number: 20090298246
    Abstract: Methods for fabricating a non-planar transistor. Fin field effect transistors (finFETs) are often built around a fin (e.g., a tall, thin semiconductive member). During manufacturing, a fin may encounter various mechanical stresses, e.g., inertial forces during movement of the substrate and fluid forces during cleaning steps. If the forces on the fin are too large, the fin may fracture and possibly render a transistor inoperative. Supporting one side of a fin before forming the second side of a fin creates stability in the fin structure, thereby counteracting many of the mechanical stresses incurred during manufacturing.
    Type: Application
    Filed: August 10, 2009
    Publication date: December 3, 2009
    Applicant: Micron Technologies, Inc.
    Inventor: Werner Juengling
  • Patent number: 7622358
    Abstract: A method for forming semi-insulating portions in a semiconductor substrate provides depositing a hardmask film over a semiconductor substructure to a thickness sufficient to prevent charged particles from passing through the hardmask. The hardmask is patterned creating openings through which charged particles pass and enter the substrate during an implantation process. The semi-insulating portions may extend deep into the semiconductor substrate and electrically insulate devices formed on opposed sides of the semi-insulating portions. The charged particles may advantageously be protons and further substrate portions covered by the patterned hardmask film are substantially free of the charged particles.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: November 24, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wen-Chin Lin, Denny Tang, Chuan-Ying Lee, Hsu Chen Cheng
  • Publication number: 20090278223
    Abstract: An objective of the present invention is to provide a process for producing a siliceous film which has a uniform quality independently of sites and in both the inside and outside of the grooves and is free from voids and cracks in the inside of the grooves. A substrate with the siliceous film can be produced by forming an insulating film having a high hydrogen content on a surface of a silicon substrate having concavoconvexes, then coating a composition containing a polysilazane compound on the substrate, and heating the coated substrate to convert the polysilazane compound to a silicon dioxide film.
    Type: Application
    Filed: January 17, 2007
    Publication date: November 12, 2009
    Inventors: Tomonori Ishikawa, Teruno Nakaguma(nee Nagura)