Complementary Insulated Gate Field Effect Transistors (i.e., Cmos) Patents (Class 438/199)
  • Patent number: 9214397
    Abstract: A method for forming an electrical device that includes forming a high-k gate dielectric layer over a semiconductor substrate that is patterned to separate a first portion of the high-k gate dielectric layer that is present on a first conductivity device region from a second portion of the high-k gate dielectric layer that is present on a second conductivity device region. A connecting gate conductor is formed on the first portion and the second portion of the high-k gate dielectric layer. The connecting gate conductor extends from the first conductivity device region over the isolation region to the second conductivity device region. One of the first conductivity device region and the second conductivity device region may then be exposed to an oxygen containing atmosphere. Exposure with the oxygen containing atmosphere modifies a threshold voltage of the semiconductor device that is exposed.
    Type: Grant
    Filed: March 7, 2013
    Date of Patent: December 15, 2015
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Bruce B. Doris, Kangguo Cheng, Steven J. Holmes, Ali Khakifirooz, Pranita Kerber, Shom Ponoth, Raghavasimhan Sreenivasan, Stefan Schmitz
  • Patent number: 9214541
    Abstract: A conductive top surface of a replacement gate stack is recessed relative to a top surface of a planarization dielectric layer by at least one etch. A dielectric capping layer is deposited over the planarization dielectric layer and the top surface of the replacement gate stack so that the top surface of a portion of the dielectric capping layer over the replacement gate stack is vertically recessed relative to another portion of the dielectric layer above the planarization dielectric layer. The vertical offset of the dielectric capping layer can be employed in conjunction with selective via etch processes to form a self-aligned contact structure.
    Type: Grant
    Filed: February 28, 2013
    Date of Patent: December 15, 2015
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Ravikumar Ramachandran, Ying Li, Richard S. Wise
  • Patent number: 9214349
    Abstract: A method for manufacturing a semiconductor device is provided. The method includes forming an insulation film including a trench on a substrate, forming a first metal gate film pattern along side and bottom surfaces of the trench, forming a second metal gate film on the first metal gate film pattern and the insulation film, and forming a second metal gate film pattern positioned on the first metal gate film pattern by removing the second metal gate film to expose at least a portion of the insulation film and forming a blocking layer pattern on the second metal gate film pattern by oxidizing an exposed surface of the second metal gate film pattern.
    Type: Grant
    Filed: October 12, 2012
    Date of Patent: December 15, 2015
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ju-Youn Kim, Je-Don Kim
  • Patent number: 9209252
    Abstract: Systems and methods are provided for generating a semiconductor device on a single semiconductor substrate. A single semiconductor substrate is generated that includes a Silicon material portion and a Germanium material portion. A first set of source/drain contacts is formed from a first metal on the Silicon material portion. The first set of source/drain contacts is annealed with the Silicon material portion at a first temperature. A second set of source/drain contacts is formed from a second metal on the Germanium material portion after heating the semiconductor substrate to the first temperature, and the second set of source/drain contacts is annealed with the Germanium material portion at a second temperature, where the second temperature is less than the first temperature.
    Type: Grant
    Filed: December 18, 2013
    Date of Patent: December 8, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Chi-Wen Liu, Chao-Hsiung Wang
  • Patent number: 9209185
    Abstract: The present disclosure provides a method for fabricating a fin-like field-effect transistor (FinFET). The method includes forming a first fin structures over a substrate, forming a patterned oxidation-hard-mask (OHM) over the substrate to expose the first fin structure in a first gate region of a n-type FET region, forming a semiconductor oxide feature in a middle portion of the first fin structure in the first gate region, forming a second fin structure in a PFET region, forming dummy gates, forming source/drain (S/D) features, replacing the dummy gates by a first high-k/metal gate (HK/MG) in the NFET region and a second HK/MG in the PFET region.
    Type: Grant
    Filed: April 16, 2014
    Date of Patent: December 8, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuo-Cheng Ching, Ka-Hing Fung, Chih-Sheng Chang, Zhiqiang Wu
  • Patent number: 9202914
    Abstract: A semiconductor device including a substrate, a plurality of isolation structures, at least a gate structure, a plurality of dummy gate structures and a plurality of epitaxial structures is provided. The substrate has an active area defined by the isolation structures disposed within the substrate. That is, the active area is defined between the isolation structures. The gate structure is disposed on the substrate and located within the active area. The dummy gate structures are disposed on the substrate and located out of the active area. The edge of each dummy gate structure is separated from the boundary of the active area with a distance smaller than 135 angstroms. The epitaxial structures are disposed within the active area and in a portion of the substrate on two sides of the gate structure. The invention also provided a method for fabricating semiconductor device.
    Type: Grant
    Filed: March 14, 2012
    Date of Patent: December 1, 2015
    Assignee: UNITED MICROELECTRONICS CORPORATION
    Inventors: Hsin-Ming Hou, Yu-Cheng Tung, Ji-Fu Kung, Wai-Yi Lien, Ming-Tsung Chen
  • Patent number: 9202876
    Abstract: A ?-Ga2O3-based single crystal, including a first region that has side and bottom surfaces and is controlled so as to have a first donor concentration; and a second region that surrounds the side and bottom surfaces of the first region and is controlled so as to have a second donor concentration lower than the first donor concentration.
    Type: Grant
    Filed: January 5, 2015
    Date of Patent: December 1, 2015
    Assignee: TAMURA CORPORATION
    Inventor: Kohei Sasaki
  • Patent number: 9196684
    Abstract: A method of reducing the impact of FEoL topography on dual stress liner depositions and the resulting device are disclosed. Embodiments include forming a first nitride layer between and over a pFET and an nFET; thinning the first nitride layer; forming a second nitride layer over the first nitride layer; and removing the first and the second nitride layers from over the pFET.
    Type: Grant
    Filed: April 16, 2014
    Date of Patent: November 24, 2015
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Kai Frohberg, Peter Moll, Dominik Olligs, Heike Scholz
  • Patent number: 9196546
    Abstract: A metal gate transistor is disclosed. The metal gate transistor includes a substrate, a metal gate on the substrate, and a source/drain region in the substrate. The metal gate further includes a high-k dielectric layer, a bottom barrier metal (BBM) layer on the high-k dielectric layer, a first work function layer on the BBM layer, a second work function layer between the BBM layer and the first work function layer, and a low resistance metal layer on the first work function layer. Preferably, the first work function layer includes a p-type work function layer and the second work function layer includes a n-type work function layer.
    Type: Grant
    Filed: September 13, 2013
    Date of Patent: November 24, 2015
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Shih-Fang Tzou, Chien-Ming Lai, Yi-Wen Chen, Hung-Yi Wu, Tong-Jyun Huang, Chien-Ting Lin, Chun-Hsien Lin
  • Patent number: 9196610
    Abstract: A semiconductor structure and an electrostatic discharge protection circuit are disclosed. The semiconductor structure includes a device structure comprising a first well region, a second well region, a source, a drain, an extending doped region, and a gate structure. The second well region has conductivity type opposite to a conductivity type of the first well region. The drain has a conductivity type same as a conductivity type of the source. The source and the drain are formed in the first well region and the second well region respectively. The extending doped region is adjoined with drain and extended under the drain. The extending doped region has a conductivity type same as the conductivity type of the drain. The gate structure is on the first well region.
    Type: Grant
    Filed: May 13, 2014
    Date of Patent: November 24, 2015
    Assignee: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Wing-Chor Chan, Hsin-Liang Chen
  • Patent number: 9196541
    Abstract: A SRAM cell and a method for manufacturing the same are disclosed. In one embodiment, the SRAM cell may include: a semiconductor layer; and a first Fin Field Effect Transistor (FinFET) and a second FinFET formed on the semiconductor layer, wherein the first FinFET includes a first fin formed by patterning the semiconductor layer, the first fin having a first top surface and a first bottom surface, wherein the second FinFET includes a second fin formed by patterning the semiconductor layer, the second fin having a second top surface and a second bottom surface, and wherein the first top surface is substantially flush with the second top surface, the first and second bottom surfaces abut against the semiconductor layer, and the height of the second fin is greater than the height of the first fin.
    Type: Grant
    Filed: November 21, 2011
    Date of Patent: November 24, 2015
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Huilong Zhu, Qingqing Liang
  • Patent number: 9190471
    Abstract: A semiconductor structure including a semiconductor wafer. The semiconductor wafer includes a gate structure, a first trench in the semiconductor wafer adjacent to a first side of the gate structure and a second trench adjacent to a second side of the gate structure, the first and second trenches filled with a doped epitaxial silicon to form a source in the filled first trench and a drain in the filled second trench such that each of the source and drain are recessed and have an inverted facet. In a preferred exemplary embodiment, the epitaxial silicon is doped with boron.
    Type: Grant
    Filed: April 13, 2012
    Date of Patent: November 17, 2015
    Assignee: GLOBALFOUNDRIES U.S.2 LLC
    Inventors: Thomas N. Adam, Kangguo Cheng, Ali Khakifirooz, Jinghong Li, Alexander Reznicek
  • Patent number: 9190465
    Abstract: A method for fabricating a field effect transistor device includes removing a portion of a first semiconductor layer and a first insulator layer to expose a portion of a second semiconductor layer, wherein the second semiconductor layer is disposed on a second insulator layer, the first insulator layer is disposed on the second semiconductor layer, and the first semiconductor layer is disposed on the first insulator layer, removing portions of the first semiconductor layer to form a first fin disposed on the first insulator layer and removing portions of the second semiconductor layer to form a second fin disposed on the second insulator layer, and forming a first gate stack over a portion of the first fin and forming a second gate stack over a portion of the second fin.
    Type: Grant
    Filed: February 26, 2014
    Date of Patent: November 17, 2015
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Kangguo Cheng, Balasubramanian S. Haran, Shom Ponoth, Theodorus E. Standaert, Tenko Yamashita
  • Patent number: 9190517
    Abstract: A method for making a semiconductor device includes forming at least one gate stack on a layer comprising a first semiconductor material and etching source and drain recesses adjacent the at least one gate stack. The method further includes shaping the source and drain recesses to have a vertical side extending upwardly from a bottom to an inclined extension adjacent the at least one gate stack.
    Type: Grant
    Filed: October 20, 2014
    Date of Patent: November 17, 2015
    Assignees: STMICROELECTRONICS, INC., INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Nicolas Loubet, Douglas Latulipe, Alexander Reznicek
  • Patent number: 9190486
    Abstract: Integrated circuits and methods for fabricating integrated circuits are provided. In an embodiment, a method for fabricating an integrated circuit includes forming a sacrificial gate structure over a semiconductor substrate. A spacer is formed around the sacrificial gate structure and a dielectric material is deposited over the spacer and semiconductor substrate. The method includes selectively etching the spacer to form a trench between the sacrificial gate structure and the dielectric material. The trench is bounded by a trench surface upon which a replacement spacer material is deposited. The method merges an upper region of the replacement spacer material to enclose a void within the replacement spacer material.
    Type: Grant
    Filed: November 20, 2012
    Date of Patent: November 17, 2015
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Ruilong Xie, Xiuyu Cai, Xunyuan Zhang
  • Patent number: 9184214
    Abstract: A semiconductor device includes a substrate and a gate stack disposed on the substrate. An upper layer of the gate stack is a metal gate conductor and a lower layer of the gate stack is a gate dielectric. A gate contact is in direct contact with the metal gate conductor.
    Type: Grant
    Filed: April 11, 2011
    Date of Patent: November 10, 2015
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Bruce B. Doris, Kangguo Cheng, Keith Kwong Hon Wong
  • Patent number: 9178063
    Abstract: A semiconductor device includes a gate structure over a substrate, a source region in the substrate, where the source region is adjacent to the gate structure. Additionally, the semiconductor device includes a drain region in the substrate, where the drain region is adjacent to the gate structure. Moreover, the semiconductor device includes a first dislocation in the substrate between the source region and the drain region. Furthermore, the semiconductor device includes a second dislocation in the substrate between the source region and the drain region, where the second dislocation is substantially parallel to the first dislocation.
    Type: Grant
    Filed: August 12, 2014
    Date of Patent: November 3, 2015
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Wei-Yuan Lu, Li-Ping Huang, Han-Ting Tsai, Wei-Ching Wang, Ming-Shuan Li, Hsueh-Jen Yang, Kuan-Chung Chen
  • Patent number: 9177868
    Abstract: A method of manufacturing a semiconductor structure by forming an oxide layer above a substrate; optionally annealing the oxide layer to densify the oxide layer; forming a first sacrificial gate above the substrate; removing the first dummy gate; optionally annealing the first gate oxide layer; and forming a first replacement metal gate above the gate oxide layer. In some embodiments selective nitridation may be performed during the annealing step.
    Type: Grant
    Filed: March 28, 2014
    Date of Patent: November 3, 2015
    Assignee: International Business Machines Corporation
    Inventors: Unoh Kwon, Wing L. Lai, Vijay Narayanan, Ravikumar Ramachandran, Shahab Siddiqui
  • Patent number: 9171901
    Abstract: An integrated circuit with DSL borders perpendicular to the transistor gates primarily inside the nwell and with DSL borders parallel to the transistor gates primarily outside the nwell. A method for forming an integrated circuit with DSL borders perpendicular to the tranistor gates primarily inside the nwell and with DSL borders parallel to the transistor gates primarily outside the nwell.
    Type: Grant
    Filed: September 5, 2014
    Date of Patent: October 27, 2015
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Youn Sung Choi, Greg Charles Baldwin
  • Patent number: 9165826
    Abstract: A method of making a semiconductor device includes forming a high-k dielectric layer over a substrate; and forming a titanium nitride layer over the high-k dielectric layer. The method further includes performing a silicon treatment on the titanium nitride layer to form at least one silicon monolayer over the titanium nitride layer. The method further includes annealing the semiconductor device to form a TiSiON layer over a remaining portion of the titanium nitride layer.
    Type: Grant
    Filed: August 25, 2014
    Date of Patent: October 20, 2015
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Cheng-Hao Hou, Xiong-Fei Yu
  • Patent number: 9166010
    Abstract: A FinFET device includes a substrate, a fin, and isolation regions on either side of the fin. The device also includes sidewall spacers above the isolation regions and formed along the fin structure. A recessing trench is formed by the sidewall spacers and the fin, and an epitaxially-grown semiconductor material is formed in and above the recessing trench, forming an epitaxial structure.
    Type: Grant
    Filed: May 11, 2015
    Date of Patent: October 20, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Andrew Joseph Kelly, Po-Ruwe Tzng, Pei-Shan Chien, Wei-Hsiung Tseng
  • Patent number: 9159566
    Abstract: Some embodiments of the present invention include apparatuses and methods relating to NMOS and PMOS transistor strain.
    Type: Grant
    Filed: December 17, 2014
    Date of Patent: October 13, 2015
    Assignee: Intel Corporation
    Inventor: Mark T. Bohr
  • Patent number: 9154045
    Abstract: A flat panel active electronically scanned array (AESA) (1) includes heterogeneous integrated circuit DC-DC voltage converters (3) periodically placed on array elements (2). A heterogeneous integrated circuit (100, 400, 500, 600) includes a voltage converter (101) configured to receive an input voltage (VI), and to convert the input voltage to an output voltage (VO) that is different from the input voltage, the voltage converter (101) comprising an analog and/or digital PWM circuit (104). The heterogeneous integrated circuit (100, 400, 500, 600) also includes a feedback circuit (103) configured to receive the output voltage (VO), and to generate a control signal used to vary a pulse width of a PWM signal generated by the analog and/or digital PWM circuit (104). The digital PWM circuit (104) is implemented in a heterogeneous integrated circuit (100, 400, 500, 600) fabricated on a common substrate (606) using CMOS and GaN fabrication processes.
    Type: Grant
    Filed: October 7, 2011
    Date of Patent: October 6, 2015
    Assignee: RAYTHEON COMPANY
    Inventors: Jeffrey H. Saunders, Michael G. Adlerstein
  • Patent number: 9153447
    Abstract: A field effect transistor device includes a fin including a semiconductor material arranged on an insulator layer, the fin including a channel region, a hardmask layer arranged partially over the channel region of the fin, a gate stack arranged over the hardmask layer and over the channel region of the fin, a metallic alloy layer arranged on a first portion of the hardmask layer, the metallic alloy layer arranged adjacent to the gate stack, and a first spacer arranged adjacent to the gate stack and over the metallic alloy layer.
    Type: Grant
    Filed: November 9, 2012
    Date of Patent: October 6, 2015
    Assignee: International Business Machines Corporation
    Inventors: Hemanth Jagannathan, Sanjay C. Mehta, Junli Wang, Chun-Chen Yeh, Stefan Schmitz
  • Patent number: 9147696
    Abstract: Devices and methods for forming semiconductor devices with FinFETs are provided. One method includes, for instance: obtaining an intermediate semiconductor device with a substrate and at least one shallow trench isolation region; depositing a hard mask layer over the intermediate semiconductor device; etching the hard mask layer to form at least one fin hard mask; and depositing at least one sacrificial gate structure over the at least one fin hard mask and at least a portion of the substrate. One intermediate semiconductor device includes, for instance: a substrate with at least one shallow trench isolation region; at least one fin hard mask over the substrate; at least one sacrificial gate structure over the at least one fin hard mask; at least one spacer disposed on the at least one sacrificial gate structure; and at least one pFET region and at least one nFET region grown into the substrate.
    Type: Grant
    Filed: October 1, 2013
    Date of Patent: September 29, 2015
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Jing Wan, Andy Wei, Lun Zhao, Dae Geun Yang, Jin Ping Liu, Tien-Ying Luo, Guillaume Bouche, Mariappan Hariharaputhiran, Churamani Gaire
  • Patent number: 9142665
    Abstract: A method for producing a semiconductor component includes providing a semiconductor body with a first surface and a second surface opposite the first surface, forming an insulation trench which extends into the semiconductor body from the first surface and which in a horizontal plane of the semiconductor body has a geometry such that the insulation trench defines a via region of the semiconductor body, forming a first insulation layer on one or more sidewalls of the insulation trench, removing semiconductor material of the semiconductor body from the second surface to expose at least parts of the first insulation layer, to remove at least parts of the first insulation layer, or to leave at least partially a semiconductor layer with a thickness of less than 1 ?m between the first insulation layer and the second surface, and forming first and second contact electrodes on the via region.
    Type: Grant
    Filed: December 10, 2010
    Date of Patent: September 22, 2015
    Assignee: Infineon Technologies Austria AG
    Inventors: Franz Hirler, Andreas Peter Meiser
  • Patent number: 9142414
    Abstract: A method includes forming a PMOS device. The method includes forming a gate dielectric layer over a semiconductor substrate and in a PMOS region, forming a first metal-containing layer over the gate dielectric layer and in the PMOS region, performing a treatment on the first metal-containing layer in the PMOS region using an oxygen-containing process gas, and forming a second metal-containing layer over the first metal-containing layer and in the PMOS region. The second metal-containing layer has a work function lower than a mid-gap work function of silicon. The first metal-containing layer and the second metal-containing layer form a gate of the PMOS device.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: September 22, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Sheng-Chen Chung, Ming Zhu, Harry-Hak-Lay Chuang, Bao-Ru Young, Wei-Cheng Wu, Chia Ming Liang, Sin-Hua Wu
  • Patent number: 9123746
    Abstract: A device includes a substrate, a semiconductor fin over the substrate, and a gate dielectric layer on a top surface and sidewalls of the semiconductor fin. A gate electrode is spaced apart from the semiconductor fin by the gate dielectric layer. The gate electrode includes a top portion over and aligned to the semiconductor fin, and a sidewall portion on a sidewall portion of the dielectric layer. The top portion of the gate electrode has a first work function, and the sidewall portion of the gate electrode has a second work function different from the first work function.
    Type: Grant
    Filed: September 9, 2014
    Date of Patent: September 1, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Po-Chin Kuo, Hsien-Ming Lee
  • Patent number: 9112032
    Abstract: One illustrative method disclosed herein includes, among other things, forming a fin protection layer around a fin, forming a sacrificial gate electrode above a section of the fin protection layer, forming at least one sidewall spacer adjacent the sacrificial gate electrode, removing the sacrificial gate electrode to define a gate cavity that exposes a portion of the fin protection layer, oxidizing at least the exposed portion of the fin protection layer to thereby form an oxidized portion of the fin protection layer, and removing the oxidized portion of the fin protection layer so as to thereby expose a surface of the fin within the gate cavity.
    Type: Grant
    Filed: June 16, 2014
    Date of Patent: August 18, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Bingwu Liu, Hui Zang
  • Patent number: 9105692
    Abstract: A method for fabricating an interconnection structure in a complementary metal-oxide-semiconductor (CMOS) includes forming an opening in a dielectric layer over a substrate and forming a dummy electrode in a first portion of the opening in the dielectric layer. The method further includes filling a second portion of the opening with a second work-function metal layer, wherein a top surface of the second work-function metal layer is below a top surface of the opening and removing the dummy electrode. The method further includes depositing a first work-function metal layer in the first and second portions, whereby the first work-function metal layer is over the second work-function metal layer in the opening and depositing a signal metal layer over the first work-function metal layer in the first and second portions.
    Type: Grant
    Filed: October 21, 2013
    Date of Patent: August 11, 2015
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Han-Guan Chew, Ming Zhu, Lee-Wee Teo, Harry-Hak-Lay Chuang
  • Patent number: 9099327
    Abstract: A multigate structure which comprises a semiconductor substrate; an ultra-thin silicon or carbon bodies of less than 20 nanometers thick located on the substrate; an electrolessly deposited metallic layer selectively located on the side surfaces and top surfaces of the ultra-thin silicon or carbon bodies and selectively located on top of the multigate structures to make electrical contact with the ultra-thin silicon or carbon bodies and to minimize parasitic resistance, and wherein the ultra-thin silicon or carbon bodies and metallic layer located thereon form source and drain regions is provided along with a process to fabricate the structure.
    Type: Grant
    Filed: August 7, 2012
    Date of Patent: August 4, 2015
    Assignee: International Business Machines Corporation
    Inventors: Wilfried Haensch, Christian Lavoie, Christine Qiqing Ouyang, Xiaoyan Shao, Paul M. Solomon, Zhen Zhang, Bin Yang
  • Patent number: 9093555
    Abstract: An integrated circuit containing PMOS transistors may be formed by forming a dual layer hard mask. A first layer of the hard mask is halogen-containing silicon nitride formed using a halogenated silane reagent. A second layer of the hard mask is silicon nitride formed on the first layer using halogen-free reagents. After source/drain cavities are etched in the PMOS transistors, a pre-epitaxial bake with hydrogen is performed. After SiGe epitaxial source/drain regions are formed, the hard mask is removed.
    Type: Grant
    Filed: July 25, 2013
    Date of Patent: July 28, 2015
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Deborah Jean Riley, Seung-Chul Song
  • Patent number: 9093376
    Abstract: A method for fabricating a field effect transistor device includes depositing a hardmask over a semiconductor layer depositing a metallic alloy layer over the hardmask, defining a semiconductor fin, depositing a dummy gate stack material layer conformally on exposed portions of the fin, patterning a dummy gate stack by removing portions of the dummy gate stack material using an etching process that selectively removes exposed portions of the dummy gate stack without appreciably removing portions of the metallic alloy layer, removing exposed portions of the metallic alloy layer, forming spacers adjacent to the dummy gate stack, forming source and drain regions on exposed regions of the semiconductor fin, removing the dummy gate stack, removing exposed portions of the metallic alloy layer, and forming a gate stack conformally over exposed portions of the insulator layer and the semiconductor fin.
    Type: Grant
    Filed: October 24, 2012
    Date of Patent: July 28, 2015
    Assignee: International Business Machines Corporation
    Inventors: Hemanth Jagannathan, Sanjay C. Mehta, Junli Wang, Chun-Chen Yeh, Stefan Schmitz
  • Patent number: 9087782
    Abstract: A manufacturing process of an etch stop layer is provided. The manufacturing process includes steps of providing a substrate; forming a gate stack structure over the substrate, wherein the gate stack structure at least comprises a dummy polysilicon layer and a barrier layer; removing the dummy polysilicon layer to define a trench and expose a surface of the barrier layer; forming a repair layer on the surface of the barrier layer and an inner wall of the trench; and forming an etch stop layer on the repair layer. In addition, a manufacturing process of the gate stack structure with the etch stop layer further includes of forming an N-type work function metal layer on the etch stop layer within the trench, and forming a gate layer on the N-type work function metal layer within the trench.
    Type: Grant
    Filed: August 7, 2013
    Date of Patent: July 21, 2015
    Assignee: UNITED MICROELECTRONICS CORPORATION
    Inventors: Kun-Hsien Lin, Hsin-Fu Huang, Chi-Mao Hsu, Chin-Fu Lin, Chun-Yuan Wu
  • Patent number: 9087716
    Abstract: The present disclosure provides an improved method for forming a thin semiconductor alloy layer on top of a semiconductor layer. The proposed method relies on an implantation of appropriate impurity species before performing deposition of the semiconductor alloy film. The implanted species cause the semiconductor alloy layer to be less unstable to wet and dry etches performed on the device surface after deposition. Thus, the thickness uniformity of the semiconductor alloy film may be substantially increased if the film is deposited after performing the implantation. On the other hand, some implanted impurities have been found to decrease the growth rate of the semiconductor alloy layer. Thus, by selectively implanting appropriate impurities in predetermined portions of a wafer, a single deposition step may be used in order to form a semiconductor alloy layer with a thickness which may be locally adjusted at will.
    Type: Grant
    Filed: July 15, 2013
    Date of Patent: July 21, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Ran Yan, Joerg Schoenekess, Jan Hoentschel
  • Patent number: 9082613
    Abstract: Methods for fabricating graphene nanoelectronic devices with semiconductor compatible processes, which allow wafer scale fabrication of graphene nanoelectronic devices, is provided. One method includes the steps of preparing a dispersion of functionalized graphene in a solvent; and applying a coating of said dispersion onto a substrate and evaporating the solvent to form a layer of functionalized graphene; and defunctionalizing the graphene to form a graphene layer on the substrate.
    Type: Grant
    Filed: March 19, 2013
    Date of Patent: July 14, 2015
    Assignee: Lockheed Martin Corporation
    Inventors: Jonathan W. Ward, Michael J. O'Connor
  • Patent number: 9059312
    Abstract: A semiconductor device includes: a first conductivity type transistor and a second conductivity type transistor, wherein each of the first conductivity type transistor and the second conductivity type includes a gate insulating film formed on a base, a metal gate electrode formed on the gate insulating film, and side wall spacers formed at side walls of the metal gate electrode, wherein the gate insulating film is made of a high dielectric constant material, and wherein offset spacers are formed between the side walls of the metal gate electrode and the inner walls of the side wall spacers in any one of the first conductivity type transistor and the second conductivity type transistor, or offset spacers having different thicknesses are formed in the first conductivity type transistor and the second conductivity type transistor.
    Type: Grant
    Filed: October 21, 2011
    Date of Patent: June 16, 2015
    Assignee: SONY CORPORATION
    Inventor: Koichi Matsumoto
  • Patent number: 9059308
    Abstract: Embodiments of the present invention include a semiconductor structure including two transistor structures separated by a dummy gate of a different material and methods for forming said structure. Embodiments including forming sacrificial gates on a semiconductor substrate, forming spacers on the sacrificial gates, forming source/drain regions adjacent to two sacrificial gates separated by a third sacrificial gate, and replacing the third sacrificial gate with an insulating material. The insulating material replacing the third sacrificial gate may serve as a dummy gate to electrically isolate nearby source/drain regions. Embodiments further include forming sacrificial gates on a semiconductor substrate, forming spacers on the sacrificial gates, forming source/drain regions adjacent to two sacrificial gates separated by a third sacrificial gate, and replacing the two sacrificial gates with metal gates while leaving the third sacrificial gate in place to serve as a dummy gate.
    Type: Grant
    Filed: August 2, 2012
    Date of Patent: June 16, 2015
    Assignee: International Business Machines Corporation
    Inventors: Emre Alptekin, Gregory Allen Northrop, Viraj Yashawant Sardesai, Cung Do Tran
  • Patent number: 9056777
    Abstract: A method of producing carbon nanotubes includes directing a flow of a gas over a substrate to provide growth of at least one carbon nanotube in a carbon-nanotube-growth region of the substrate; applying an electric field to the carbon-nanotube-growth region of the substrate after the at least one carbon nanotube has begun to grow in the carbon-nanotube-growth region, the electric field being substantially in a first direction in the carbon-nanotube-growth region; and changing the electric field at a preselected time to be substantially in a second direction in the carbon-nanotube-growth region during growth of the at least one carbon nanotube. The second direction is different from the first direction resulting in a bend substantially at a selected position of the at least one carbon nanotube, the method of producing carbon nanotubes providing the production of the at least one carbon nanotube having at least one bend substantially at a selected position along the at least one carbon nanotube.
    Type: Grant
    Filed: October 19, 2009
    Date of Patent: June 16, 2015
    Assignee: The Johns Hopkins University
    Inventors: Nina Markovic, Christopher A. Merchant, James R. Medford
  • Patent number: 9053965
    Abstract: A transistor device and a method for forming a fin-shaped field effect transistor (FinFET) device, with the channel portion of the fins on buried silicon oxide, while the source and drain portions of the fins on silicon. An example method includes receiving a wafer with a silicon layer electrically isolated from a silicon substrate by a buried oxide (BOX) layer. The BOX layer is in physical contact with the silicon layer and the silicon substrate. The method further comprises implanting a well in the silicon substrate and forming vertical sources and drains over the well between dummy gates. The vertical sources and drains extend through the BOX layer, fins, and a portion of the dummy gates.
    Type: Grant
    Filed: September 25, 2013
    Date of Patent: June 9, 2015
    Assignee: International Business Machines Corporation
    Inventors: Hong He, Chiahsun Tseng, Chun-chen Yeh, Yunpeng Yin
  • Patent number: 9054186
    Abstract: A semiconductor device comprises a semiconductor substrate; a channel layer of at least a first III-V semiconductor compound above the semiconductor substrate; a gate stack structure above a first portion of the channel layer; a source region and a drain region comprising at least a second III-V semiconductor compound above a second portion of the channel layer; and a first metal contact structure above the S/D regions comprising a first metallic contact layer contacting the S/D regions. The first metallic contact layer comprises at least one metal-III-V semiconductor compound.
    Type: Grant
    Filed: September 29, 2014
    Date of Patent: June 9, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Richard Kenneth Oxland
  • Publication number: 20150145048
    Abstract: Embodiments of the present invention provide an improved structure and method for forming CMOS field effect transistors. In embodiments, silicon germanium (SiGe) is formed on a PFET side of a semiconductor structure, while silicon is disposed on an NFET side of a semiconductor structure. A narrow isolation region is formed between the PFET and NFET. The NFET fins are comprised of silicon and the PFET fins are comprised of silicon germanium.
    Type: Application
    Filed: November 22, 2013
    Publication date: May 28, 2015
    Applicant: International Business Machines Corporation
    Inventors: Kangguo Cheng, Bruce B. Doris, Steven J. Holmes, Ali Khakifirooz
  • Publication number: 20150144962
    Abstract: A complementary fin field-effect transistor (FinFET) includes a p-type device having a p-channel fin. The p-channel fin may include a first material that is lattice mismatched relative to a semiconductor substrate. The first material may have a compressive strain. The FinFET device also includes an n-type device having an re-channel fin. The n-channel fin may include a second material having a tensile strain that is lattice mismatched relative to the semiconductor substrate. The p-type device and the n-type device cooperate to form the complementary FinFET device.
    Type: Application
    Filed: July 2, 2014
    Publication date: May 28, 2015
    Inventors: Kern RIM, Jeffrey Junhao XU, Stanley Seungchul SONG
  • Patent number: 9040379
    Abstract: Some embodiments include methods in which first insulative material is formed across a memory region and a peripheral region of a substrate. An etch stop structure is formed to have a higher portion over the memory region than over the peripheral region. A second insulative material is formed to protect the lower portion of the etch stop structure, and the higher portion is removed. Subsequently, at least some of the first and second insulative materials are removed. Some embodiments include semiconductor constructions having a first region with first features, and a second region with second features. The first features are closer spaced than the second features. A first insulative material is over the second region and an insulative structure is over the first insulative material. The structure has a stem joined to a bench. The bench has an upper surface, and the stem extends to above the upper surface.
    Type: Grant
    Filed: January 30, 2014
    Date of Patent: May 26, 2015
    Assignee: Micron Technology, Inc.
    Inventor: Zengtao T. Liu
  • Patent number: 9040373
    Abstract: A semiconductor structure and method of manufacturing is provided. The method of manufacturing includes forming shallow trench isolation (STI) in a substrate and providing a first material and a second material on the substrate. The first material and the second material are mixed into the substrate by a thermal anneal process to form a first island and second island at an nFET region and a pFET region, respectively. A layer of different material is formed on the first island and the second island. The STI relaxes and facilitates the relaxation of the first island and the second island. The first material may be deposited or grown Ge material and the second material may deposited or grown Si:C or C. A strained Si layer is formed on at least one of the first island and the second island.
    Type: Grant
    Filed: December 13, 2013
    Date of Patent: May 26, 2015
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Omer H. Dokumaci, Oleg Gluschenkov
  • Patent number: 9040372
    Abstract: Disclosed are methods for forming a metal-containing layer on a substrate. The methods include providing a vapor and at least one reaction gas and reacting the vapor and the reaction gas with the substrate by a deposition process. The vapor may be selected from the group consisting of (Cp)V(?NtBu)(NEt2)2; (Cp)V(?NtBu)(NMe2)2; (Cp)V(?NtBu)(NEtMe)2; (Cp)V(?NiPr)(NEt2)2; (Cp)V(?NiPr)(NMe2)2; (Cp)V(?NiPr)(NEtMe)2; (Cp)V(?NC5H11)(NEt2)2; (Cp)V(?NC5H11)(NMe2)2; (Cp)V(?NC5H11)(NEtMe)2; (Cp)Nb(?NtBu)(NEt2)2; (Cp)Nb(?NtBu)(NMe2)2; (Cp)Nb(?NtBu)(NEtMe)2; (Cp)Nb(?NiPr)(NEt2)2; (Cp)Nb(?NiPr)(NMe2)2; (Cp)Nb(?NiPr)(NEtMe)2; (Cp)Nb(?NC5H11)(NEt2)2; (Cp)Nb(?NC5H11)(NMe2)2; and (Cp)Nb(?NC5H11)(NEtMe)2.
    Type: Grant
    Filed: May 7, 2013
    Date of Patent: May 26, 2015
    Assignee: L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges
    Inventors: Nicolas Blasco, Antony Correia-Anacleto, Audrey Pinchart, Andreas Zauner
  • Patent number: 9041158
    Abstract: A semiconductor apparatus includes fin field-effect transistor (FinFETs) having controlled fin heights. The apparatus includes a high fin density area and a low fin density area. Each fin density area includes fins and dielectric material between the fins. The dielectric material includes different dopant concentrations for different fin density areas and is the same material as deposited.
    Type: Grant
    Filed: February 23, 2012
    Date of Patent: May 26, 2015
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Clement Hsingjen Wann, Ling-Yen Yeh, Chi-Yuan Shih, Yuan-Fu Shao, Wen-Huei Guo, Tung Ying Lee
  • Patent number: 9041116
    Abstract: A method for forming an electrical device that includes forming a high-k gate dielectric layer over a semiconductor substrate that is patterned to separate a first portion of the high-k gate dielectric layer that is present on a first conductivity device region from a second portion of the high-k gate dielectric layer that is present on a second conductivity device region. A connecting gate conductor is formed on the first portion and the second portion of the high-k gate dielectric layer. The connecting gate conductor extends from the first conductivity device region over the isolation region to the second conductivity device region. One of the first conductivity device region and the second conductivity device region may then be exposed to an oxygen containing atmosphere. Exposure with the oxygen containing atmosphere modifies a threshold voltage of the semiconductor device that is exposed.
    Type: Grant
    Filed: May 23, 2012
    Date of Patent: May 26, 2015
    Assignee: International Business Machines Corporation
    Inventors: Bruce B. Doris, Kangguo Cheng, Steven J. Holmes, Ali Khakifirooz, Pranita Kulkarni, Shom Ponoth, Raghavasimhan Sreenivasan, Stefan Schmitz
  • Publication number: 20150137239
    Abstract: To suppress performance degradation of a semiconductor device, when the width of a first active region having a first field effect transistor formed therein is smaller than the width of a second active region having a second field effect transistor formed therein, the height of a surface of a first raised source layer of the first field effect transistor is made larger than the height of a surface of a second raised source layer of the second field effect transistor. Moreover, the height of a first surface of a raised drain layer of the first field effect transistor is made larger than a surface of a second raised drain layer of the second field effect transistor.
    Type: Application
    Filed: August 27, 2014
    Publication date: May 21, 2015
    Inventors: Hirofumi Shinohara, Hidekazu Oda, Toshiaki Iwamatsu
  • Publication number: 20150138862
    Abstract: A three-dimensional (3D) semiconductor memory device includes a CMOS circuit structure including a plurality of column blocks each comprising a plurality of page buffer circuits, and a lower wiring structure and a memory structure sequentially stacked over the CMOS circuit structure. The memory structure overlaps a first circuit region of the CMOS circuit structure and does not overlap a second circuit region of the CMOS circuit structure, and the plurality of column blocks are contained within the first circuit region of the CMOS circuit structure.
    Type: Application
    Filed: October 27, 2014
    Publication date: May 21, 2015
    Inventors: JINTAEK PARK, YOUNGWOO PARK, JAEDUK LEE