Making Of Isolation Regions Between Components (epo) Patents (Class 257/E21.54)

  • Publication number: 20080142998
    Abstract: A zero-order overlay target comprises a first zero-order line array fabricated on a first layer of a semiconductor structure, the first zero-order line array having a first pitch, and a second zero-order line array fabricated on a second layer of the semiconductor structure, the second zero-order line array having a second pitch. The second pitch may be different from the first pitch, and a portion of the second zero-order line array may be positioned to become optically coupled to a portion of the first zero-order line array when subject to an overlay measurement. Further, the second pitch may be variable. For example, the variable pitch may comprise a first set of features having a pitch approximately equal to the first pitch, a second set of features having a pitch different from the first pitch, and a third set of features having a pitch approximately equal to the first pitch.
    Type: Application
    Filed: October 31, 2007
    Publication date: June 19, 2008
    Inventors: Richard Silver, Pete Lipscomb, Bryan Barnes, Ravikirran Attota
  • Publication number: 20080146002
    Abstract: A method of manufacturing a semiconductor device having buried gates may include forming a stacked structure of sequentially stacked first mask patterns and second mask patterns with equal widths to expose active regions and isolation regions of a semiconductor substrate. After forming reduced first mask patterns by decreasing the width only of the first mask patterns, trenches may be formed in the active regions and the isolation regions by etching the exposed portions of the semiconductor substrate using the second mask patterns as an etch mask. Then, gate insulating films may be formed on inner walls of the trenches in the active regions, and a conductive material may be buried into the trenches in the active regions and the isolation regions to form gates.
    Type: Application
    Filed: December 13, 2007
    Publication date: June 19, 2008
    Inventors: Eun-young Kang, Jun Seo, Jae-seung Hwang, Sung-il Cho, Yong-hyun Kwon
  • Publication number: 20080146000
    Abstract: A method of forming a semiconductor memory device includes providing a semiconductor substrate having a cell region and a peripheral region. A gate dielectric layer is formed over the semiconductor substrate in the peripheral region. An insulating layer is formed over the gate dielectric layer. An isolation trench is formed in the peripheral region, the isolation trench defining first and second trenches having different opening widths. A first gap-fill layer is provided over the isolation trench and on the step. The first gap-fill layer has a first portion on a sidewall of the insulating layer, a second portion on a sidewall of the gate dielectric layer, and a third portion at least partly filling the second trench of the isolation trench, the second portion being thicker than the first portion. A wet etch is performed to remove at least part of the first gap-fill layer. A second gap-fill layer is provided over the first gap-fill layer in the isolation trench to form an isolation structure.
    Type: Application
    Filed: December 13, 2006
    Publication date: June 19, 2008
    Applicant: Hynix Semiconductor Inc.
    Inventor: Jae Jung Lee
  • Publication number: 20080146035
    Abstract: A method for manufacturing a semiconductor device includes forming a SiGe layer on a Si substrate, forming a dummy pattern to expose a surface of the Si substrate, and wet etching the SiGe layer while an etchant is contacted with, the dummy pattern.
    Type: Application
    Filed: December 13, 2007
    Publication date: June 19, 2008
    Applicant: SEIKO EPSON CORPORATION
    Inventors: Juri KATO, Hideaki OKA, Masamitsu UEHARA
  • Publication number: 20080135921
    Abstract: An epitaxial layer is formed on an n+ semiconductor substrate by epitaxial growth. A gate trench is formed to the surface of gate trench so that the bottom of gate trench reaches middle of the epitaxial layer. A gate insulator is formed on the inner wall of gate trench and a polysilicon is formed in the gate trench with the gate insulator interposed therebetween. An HTO film is formed on the surface of the polysilicon and the n? epitaxial layer. At this time, an ion plantation is performed to the epitaxial layer through the HTO film. Hence, a p diffused base layer, an n+ diffused source layer, an n+ diffused source layer is formed. A CVD oxide film is formed on the HTO film. After a BPSG having flowability is deposited on the CVD oxide film, the BPSG film is planarized with a heat treatment of 900-1100 degree Celsius.
    Type: Application
    Filed: November 13, 2007
    Publication date: June 12, 2008
    Applicant: NEC ELECTRONICS CORPORATION
    Inventors: Yoshimitsu Murase, Kenya Kobayashi, Hideo Yamamoto, Atsushi Kaneko
  • Publication number: 20080136049
    Abstract: A method for registering a pattern on a semiconductor wafer with an oxide surface includes etching into the surface four sets of two trenches each. Each trench in a set is parallel to the other. The trenches are configured such that each set forms one side of a box shape. The trenches are overfilled with a first metal layer, the excess of which is removed so that the height of the metal is level with the height of the oxide. An overlay setting is then obtained between a photoresist mask and the filled trenches before depositing a second metal layer over the oxide and trenches. The second metal layer is coated with the photoresist according to the overlay setting.
    Type: Application
    Filed: December 11, 2006
    Publication date: June 12, 2008
    Inventors: Chin Cheng Yang, Chih Hao Huang
  • Publication number: 20080135986
    Abstract: A method of forming a pre-metal dielectric (PMD) layer of a semiconductor device using a chemical mechanical polishing (CMP) process which can be suitable for easily recognizing an alignment key. Such a method can reduce or otherwise eliminate alignment key erosion due to CMP by previously forming an alignment key pattern of polysilicon in an active region of a semiconductor scribe lane.
    Type: Application
    Filed: November 29, 2007
    Publication date: June 12, 2008
    Inventor: Sang-Tae Moon
  • Publication number: 20080135985
    Abstract: An efficient method for the thermal oxidation of preferably silicon semiconductor wafers using LOCOS (local oxidation of silicon) processes is described. The mechanical stresses of the wafers are to be reduced. To this end, an oxidation method is proposed that comprises providing a substrate (1) having a front side (12) to be patterned and a rear side (13). The substrate is oxidized in two steps. In a first step the rear side (13) is covered by a layer (4) that inhibits or hampers the oxidation. During a second step of the oxidation the oxidation-hampering layer (4) is no longer present. During both steps an oxide thickness is obtained on the front side (12) that is greater than an oxide thickness obtained on the rear side (13).
    Type: Application
    Filed: October 6, 2005
    Publication date: June 12, 2008
    Applicant: X-FAB SEMICONDUCTOR FOUNDRIES AG
    Inventors: Ralf Lerner, Uwe Eckoldt
  • Publication number: 20080128696
    Abstract: The present invention provides methods and systems for discretized, combinatorial processing of regions of a substrate such as for the discovery, implementation, optimization, and qualification of new materials, processes, and process sequence integration schemes used in integrated circuit fabrication. A substrate having an array of differentially processed regions thereon is processed by delivering materials to or modifying regions of the substrate.
    Type: Application
    Filed: February 12, 2008
    Publication date: June 5, 2008
    Inventors: Tony P. Chiang, David E. Lazovsky, Thomas R. Boussie, Alexander Gorer
  • Publication number: 20080132029
    Abstract: A semiconductor fabrication method. First, a semiconductor structure is provided. The semiconductor structure includes a semiconductor substrate, a trench in the semiconductor substrate. The trench includes a side wall which includes {100} side wall surfaces and {110} side wall surfaces. The semiconductor structure further includes a blocking layer on the {100} side wall surfaces and the {110} side wall surfaces. Next, portions of the blocking layer on the {110} side wall surfaces are removed without removing portions of the blocking layer on the {100} side wall surfaces such that the {110} side wall surfaces are exposed to a surrounding ambient.
    Type: Application
    Filed: December 17, 2007
    Publication date: June 5, 2008
    Inventors: Kangguo Cheng, Ramachandra Divakaruni
  • Publication number: 20080132028
    Abstract: An integrated circuit structure for isolating substrate noise and a method of forming the same are provided. In the preferred embodiment of the present invention, a semi-insulating region is formed using proton bombardment in a substrate between a first circuit region and a second circuit region. Two guard rings are formed along the semi-insulating region, each on a side. A backside semi-insulating region is formed through proton bombardment from the back surface of the substrate into the substrate. The backside semi-insulating region is preferably connected with the semi-insulating region. A grounded guard layer is preferably formed on the backside semi-insulating region.
    Type: Application
    Filed: January 10, 2008
    Publication date: June 5, 2008
    Inventors: Wai-Yi Lien, Denny Duan-lee Tang
  • Publication number: 20080124891
    Abstract: A method for preventing wafer edge peeling in a metal wiring process. A buffer layer is formed between a diffusion barrier layer of a metal wiring substructure and a semiconductor substrate. The buffer layer is an insulating dielectric layer, preferably a silicon oxide layer, or a polysilicon layer. The silicon oxide layer is formed in a process for forming a Shallow Trench Isolation (STI) structure. Using the above processes, the structure of direct contact between the diffusion barrier layer of the metal wiring structure and the semiconductor substrate can be avoided, and hence wafer edge peeling can be avoided without any modification to a conventional semiconductor fabrication procedure and with low cost and improved operability. This method is applicable to various semiconductor fabrication processes.
    Type: Application
    Filed: October 1, 2007
    Publication date: May 29, 2008
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Kegang Zhang, Hunglin Chen, Yin Long, Qiliang Ni, Wenlei Chen, Yanbo Shangguan, Xiaorong Zhu
  • Publication number: 20080124892
    Abstract: A method of manufacturing a semiconductor device comprises at least two processes. Under an atmosphere comprising hydrogen and oxygen, a sacrificial oxide film is formed on a silicon substrate that is provided with at least one nitride region. Then, the sacrificial oxide film and the nitride region are removed from the silicon substrate.
    Type: Application
    Filed: November 21, 2007
    Publication date: May 29, 2008
    Applicant: Elpida Memory, Inc.
    Inventor: Takuo OHASHI
  • Publication number: 20080122041
    Abstract: A semiconductor device and method for producing such a device is disclosed. One embodiment provides a semiconductor functional wafer having a first and second main surface. Component production processes are performed for producing a component functional region at the first main surface, wherein the component production processes produce an end state that is stable up to at least a first temperature. A carrier substrate is fitted to the first main surface. Access openings are produced to the first main surface. At least one further component production process is performed for producing patterned component functional regions at the first main surface of the functional wafer in the access openings. The end state produced in this process is stable up to a second temperature, which is less than the first temperature.
    Type: Application
    Filed: November 16, 2007
    Publication date: May 29, 2008
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Friedrich Kroener, Francisco Javier Santos Rodriguez, Carsten von Koblinski
  • Publication number: 20080122038
    Abstract: A semiconductor device and a method for making the semiconductor device having a guard ring formed by a trench filled with a metallic material is described. Using the trench, crack and moisture propagation may be eliminated or prevented from propagating from a dicing area to an active circuit area of a chip.
    Type: Application
    Filed: September 15, 2006
    Publication date: May 29, 2008
    Applicant: TOSHIBA AMERICA ELECTRONIC COMPONENTS, INC.
    Inventor: Masahiro INOHARA
  • Publication number: 20080124889
    Abstract: A process of forming an electronic device can include providing a semiconductor-on-insulator substrate including a substrate, a first semiconductor layer, and a buried insulating layer lying between the first semiconductor layer and the substrate. The process can also include forming a field isolation region within the semiconductor layer, and forming an opening extending through the semiconductor layer and the buried insulating layer to expose the substrate. The process can further include forming a conductive structure within the opening, wherein the conductive structure abuts the substrate.
    Type: Application
    Filed: November 3, 2006
    Publication date: May 29, 2008
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventors: Todd C. ROGGENBAUER, Vishnu K. KHEMKA, Ronghua ZHU, Amitava BOSE, Paul HUI, Xiaoqiu HUANG, Van WONG
  • Publication number: 20080111209
    Abstract: A semiconductor device includes: a first element isolation film that is formed by a LOCOS oxidation method on a semiconductor substrate for isolating a first element region from other regions; a second element isolation film embedded in a groove formed in the semiconductor substrate for isolating a second element region from other regions; a first semiconductor element formed in the first element region; a second semiconductor element formed in the second element region; and a resistance element formed on the first element isolation film.
    Type: Application
    Filed: October 25, 2007
    Publication date: May 15, 2008
    Applicant: SEIKO EPSON CORPORATION
    Inventor: Chihiro Shin
  • Publication number: 20080113483
    Abstract: A method of forming staggered heights in a pattern layer of an intermediate semiconductor device structure. The method comprises providing an intermediate semiconductor device structure comprising a pattern layer and a first mask layer, forming first openings in the pattern layer, forming spacers adjacent to etched portions of the pattern layer to reduce a width of the first openings, etching the pattern layer to increase a depth of the first openings, and forming second openings in the pattern layer. A method of forming staggered heights in the pattern layer that includes spacers formed on multiple mask layers is also disclosed. Intermediate semiconductor device structures are also disclosed.
    Type: Application
    Filed: November 15, 2006
    Publication date: May 15, 2008
    Inventor: David H. Wells
  • Publication number: 20080102600
    Abstract: Disclosed is a semiconductor device, and more particularly, a manufacturing method of a high voltage semiconductor device. The method includes: forming a semiconductor substrate having a key area for an alignment key, a low voltage area for a low voltage device, and a high voltage area for a high voltage device; forming an oxide film on the substrate; and forming an insulating film on the oxide film. After removing the insulating film, the method includes forming a plurality of shallow trench isolations (STI's) in the areas of the substrate; forming a nitride layer on the substrate and on STIs; sequentially forming a plurality of wells and drift areas by implanting an impurity ion into the high voltage area; and sequentially forming the plurality of wells and the drift areas by implanting an impurity ion into the low voltage area. A system on chip (SOC) process may thus be simplified.
    Type: Application
    Filed: October 4, 2007
    Publication date: May 1, 2008
    Inventor: Yong Keon Choi
  • Publication number: 20080099856
    Abstract: A method of fabricating a semiconductor device having multiple gate dielectric layers and a semiconductor device fabricated thereby, in which the method includes forming an isolation layer defining first and second active regions in a semiconductor substrate. A passivation layer is formed on the substrate having the isolation layer. A first patterning process is carried out that etches the passivation layer on the first active region to form a first opening exposing the first active region, and a first dielectric layer is formed in the exposed first active region. A second patterning process is carried out, which etches the passivation layer on the second active region to form a second opening exposing the second active region, and a second dielectric layer is formed in the exposed second active region.
    Type: Application
    Filed: October 23, 2007
    Publication date: May 1, 2008
    Inventors: Sung-Gun Kang, Kang-Soo Chu
  • Publication number: 20080102623
    Abstract: To provide a semiconductor device manufacturing method in which, when a silicon nitride film is formed as an anti-oxidation film on bit wires formed from tungsten film, formation of tungsten nitride film, which is a cause of increased wire resistance, is suppressed, so that yields are improved compared with related arts. A semiconductor device manufacturing method includes: a dielectric film formation process of forming a dielectric film on a semiconductor substrate; a wire pattern formation process of forming a wire pattern having a tungsten film on the dielectric film; and a wire pattern covering process of depositing a silicon nitride film using Atomic Layer Deposition processing employing dichlorosilane and ammonia radicalized by plasma, and covering the wire pattern.
    Type: Application
    Filed: October 25, 2007
    Publication date: May 1, 2008
    Applicant: Elpida Memory, Inc.
    Inventors: Toshiyuki HIROTA, Motoyuki Kono
  • Publication number: 20080093698
    Abstract: A method for forming an array of elongated nanostructures, includes in one embodiment, providing a substrate, providing a template having a plurality of pores on the substrate, and removing portions of the substrate under the plurality of pores of the template to form a plurality of cavities. A catalyst is provided in the plurality of cavities in the substrate, and the pores of the template are widened to expose the substrate around the catalyst so that the catalyst is spaced from the sides of the plurality of pores of the template. A plurality of elongated nanostructures is grown from the catalyst spaced from the sides of the pores of the template.
    Type: Application
    Filed: October 20, 2006
    Publication date: April 24, 2008
    Applicant: GENERAL ELECTRIC COMPANY
    Inventors: Loucas TSAKALAKOS, Bastiaan A. KOREVAAR, Joleyn E. BALCH, Jody A. FRONHEISER, Reed R. CORDERMAN, Fred SHARIFI, Vidya RAMASWAMY
  • Publication number: 20080081432
    Abstract: A semiconductor device includes a plurality of gate trenches, each of which has first inner walls, which face each other in a first direction which is perpendicular to a second direction in which active regions extend, and second inner walls, which face each other in the second direction in which the active regions extends. An isolation layer contacts a gate insulating layer throughout the entire length of the first inner wails of the gate trenches including from entrance portions of the gate trenches to bottom portions of the gate trenches, and a plurality of channel regions are disposed adjacent to the gate insulating layers in the semiconductor substrate along the second inner walls and the bottom portions of the gate trenches.
    Type: Application
    Filed: January 19, 2007
    Publication date: April 3, 2008
    Inventors: Yong-Jin KIM, Kyeong-Koo Chi, Chang-Jin Kang, Hyoung-Sub Kim, Mybong-Cheol Kim, Tae-Rin Chung, Sung-Hoon Chung, Ji-Young Kim
  • Publication number: 20080081433
    Abstract: A method for forming a shallow trench isolation structure, comprising the steps of: sequentially forming a pad oxide layer and an etch barrier layer on a semiconductor substrate, and sequentially defining the etch barrier layer, the pad oxide layer, and the substrate to form a trench; forming a liner oxide layer on the inner surface of the trench; forming a isolation oxide layer which fills up the trench and covers the sidewall of the pad oxide layer and the etch barrier layer; planarizing the isolation oxide layer until the etch barrier layer has been exposed; sequentially removing the etch barrier layer and the pad oxide layer on the substrate; forming a spin-on-glass layer on the substrate and the isolation oxide layer such that the recess on the sidewall of the trench is filled with the spin-on-glass; performing the process of removing the spin-on-glass layer until both of the substrate and the isolation oxide layer have been exposed.
    Type: Application
    Filed: September 17, 2007
    Publication date: April 3, 2008
    Applicant: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (Shanghai) CORPORATION
    Inventors: Leong Tce Koh, Zhengying Wei, Saiya Zhu, Jian Weng
  • Publication number: 20080081434
    Abstract: A method for forming an isolation structure in a semiconductor device includes preparing a semi-finished substrate including a trench. An oxide layer is formed over sidewalls of the trench. A multiple layer structure of liner layers is formed over the oxide layer. An insulation layer is formed over the multiple layer structure such that the insulation layer fills an inside of the trench. The insulation layer is planarized.
    Type: Application
    Filed: April 23, 2007
    Publication date: April 3, 2008
    Inventors: Ki-Won Nam, Ky-Hyun Han
  • Publication number: 20080054411
    Abstract: Embodiments relate to a semiconductor device and a method for manufacturing the device, which suppresses off-current by improving the problem of leakage current due to hump characteristics, making it possible to maximize the reliability of the device. Embodiments relate to a method for manufacturing a semiconductor device including forming a well having two ends in a semiconductor substrate. A shallow trench isolation (STI) is formed by etching both ends of the well and the semiconductor substrate adjacent both ends of the well. A gate oxide film and a photoresist film are formed over the upper surface of the semiconductor substrate including the STI. The photoresist film is patterned for an impurity ion implant into one side area including the edge of the side wall of the STI. A barrier area is formed by implanting an impurity ion into one side area including the side wall edge of the STI using the patterned photoresist film as a mask.
    Type: Application
    Filed: August 29, 2007
    Publication date: March 6, 2008
    Inventor: Hyeong-Gyun Jeong
  • Publication number: 20080057673
    Abstract: The invention is directed to a structure and method of forming a structure having a sealed gate oxide layer. The structure includes a gate oxide layer formed on a substrate and a gate formed on the gate oxide layer. The structure further includes a material abutting walls of the gate and formed within an undercut underneath the gate to protect regions of the gate oxide layer exposed by the undercut. Source and drain regions are isolated from the gate by the material.
    Type: Application
    Filed: August 20, 2007
    Publication date: March 6, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Xiaomeng CHEN, Shwu-Jen JENG, Byeong Y. KIM, Hasan M. NAYFEH
  • Publication number: 20080057612
    Abstract: A method for fabricating corner implants in the shallow trench isolation regions of an image sensor includes the steps of forming a photoresist layer on a first hard mask layer overlying an etch-stop layer on a semiconductor substrate. The photoresist mask is patterned to create an opening and the portion of the first hard mask layer exposed in the opening is etched down to the etch-stop layer. A first dopant is implanted into the semiconductor substrate through the exposed etch-stop layer. The photoresist mask is removed and a second hard mask layer is formed on the remaining structure and etched to create sidewall spacers along the side edges of the first hard mask layer. The etch stop layer and the semiconductor substrate positioned between the sidewall spacers are etched to create a trench and a second dopant implanted into the side and bottom walls of the trench.
    Type: Application
    Filed: August 17, 2007
    Publication date: March 6, 2008
    Inventors: Hung Q. Doan, Eric G. Stevens
  • Publication number: 20080050886
    Abstract: A method of producing a semiconductor device according to the present invention comprises steps of: (A) forming trenches (13) on the front surface (FS) of a semiconductor substrate (11) on the back surface (BS) of which a nitride film (12b) is formed; (B) depositing an insulating film (15) to bury the trenches (13); (C) removing the nitride film (12b) on the back surface (BS) of the semiconductor substrate (11) after the step (B); and (D) annealing before the insulating film (15) is etched after the step (C).
    Type: Application
    Filed: August 8, 2007
    Publication date: February 28, 2008
    Inventor: Toshiyuki Hirota
  • Publication number: 20080038897
    Abstract: A semiconductor-device manufacturing method includes forming an element separating insulating film on a semiconductor substrate; forming a gate multilayer film for forming a gate electrode thereon; removing the gate multilayer film in an alignment mark forming area positioned on the element separating insulating film; forming a pattern of a first conductive film in the element forming area; forming an alignment mark of the first conductive film, used in photolithography, in the alignment mark forming area surrounded by the gate multilayer film; forming an inter-layer insulating film thereon; removing the inter-layer insulating film in the alignment mark forming area, so that it remains on the gate multilayer film around the alignment mark forming area; removing or thinning the element separating insulating film around the alignment mark; and forming a pattern of a second conductive film on the inter-layer insulating film by performing alignment of the photolithography by using the alignment mark.
    Type: Application
    Filed: August 6, 2007
    Publication date: February 14, 2008
    Inventors: Kazushi Suzuki, Hiroshi Yoshino, Yoshihiro Takaishi
  • Publication number: 20080036048
    Abstract: A semiconductor junction device includes a semiconductor substrate of a first conductivity type and a junction layer formed on the substrate which has a second conductivity type. A field reducing region of the first conductivity type surrounds a periphery of the junction layer and extends under a peripheral portion of the junction layer. An insulating layer is provided on the field reducing region and a metal layer overlies the junction layer and the insulating layer.
    Type: Application
    Filed: July 12, 2007
    Publication date: February 14, 2008
    Inventors: Sheng-Huei Dai, Ya-Chin King, Hai-Ning Wang, Ming-Tai Chiang
  • Publication number: 20080023787
    Abstract: A semiconductor device that reduces the width of an isolation region between semiconductor elements. The semiconductor device includes a semiconductor substrate, an epitaxial layer formed on the semiconductor substrate, a buried layer formed between the semiconductor substrate and the epitaxial layer, a first trench formed in the epitaxial layer so as to surround the buried layer, and an insulation film formed in the first trench.
    Type: Application
    Filed: July 27, 2007
    Publication date: January 31, 2008
    Applicant: SANYO ELECTRIC CO., LTD.
    Inventors: Satoru SHIMADA, Yoshikazu YAMAOKA, Kazunori FUJITA, Tomonori TABE
  • Publication number: 20080026542
    Abstract: A method of manufacturing a semiconductor device is provided. According to an embodiment, a first opening is formed on a semiconductor substrate, and a sacrificial layer is formed to fill the first opening. Then, a second opening is formed on a region of the semiconductor substrate having the first opening. The second opening is formed to have a greater width and shallower depth than the first opening. Next, the sacrificial layer is removed, and the first and second openings are filled with insulating material to form a device isolation layer.
    Type: Application
    Filed: July 26, 2007
    Publication date: January 31, 2008
    Inventor: Shim Cheon Man
  • Publication number: 20080020543
    Abstract: A manufacturing method of a semiconductor device according to an embodiment includes: forming a trench for a device isolation area and a semiconductor projection with a first width by etching a semiconductor substrate; forming an oxide film on the trench and the semiconductor projections; forming an insulating layer on the oxide film; exposing the upper surface of the semiconductor projection by polishing the insulating layer and the oxide film; forming a gate insulating layer at a lower region of the semiconductor projection; and etching the insulating layer and the oxide film on the substrate.
    Type: Application
    Filed: July 18, 2007
    Publication date: January 24, 2008
    Inventors: EUN SOO JEONG, JEA HEE KIM
  • Patent number: 7320926
    Abstract: A method for forming shallow trenches having different trench fill materials is described. A stop layer is provided on a substrate. A plurality of trenches is etched through the stop layer and into the substrate. A first layer is deposited over the stop layer and filling said trenches. The first layer is planarized to the stop layer leaving the first layer within the trenches. The first layer is removed from a subset of the trenches. A second layer is deposited over the stop layer and within the subset of trenches and planarized to the stop layer leaving the second layer within the subset of trenches to complete fabrication of shallow trenches having different trench fill materials. The trench fill materials may be dielectric layers having different dielectric constants or they may be a dielectric layer and a conducting layer. The method can be extended to provide three or more different trench fill materials.
    Type: Grant
    Filed: January 26, 2006
    Date of Patent: January 22, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Min-Hwa Chi
  • Publication number: 20080003773
    Abstract: A method for forming an isolation structure of a semiconductor device including a substrate where a gate insulating layer, a gate conductive layer, and a pad nitride layer are already formed includes etching the pad nitride layer, the gate conductive layer, the gate insulating layer and a portion of the substrate to form a trench, forming a wall oxide layer along an inner surface of the trench, forming a first insulating layer over a first resulting structure, including the wall oxide layer, to partially fill the trench, forming a second insulating layer using a spin coating method over a second resulting structure, including the first insulating layer, to fill the trench, polishing the first and second insulating layers using the pad nitride layer as a polish stop layer, removing the pad nitride layer, recessing the first and second insulating layers, and recessing the second insulating layer to a predetermined depth.
    Type: Application
    Filed: December 28, 2006
    Publication date: January 3, 2008
    Inventors: Sang-Hyon Kwak, Su-Hyun Lim
  • Publication number: 20080001262
    Abstract: The techniques described herein reduce the substrate noise current that exists when digital and analog components reside on the same microelectronic die. Single or multiple rows of isolation vias form isolation barriers between the individual circuit blocks. The isolation vias may be hollow or (lined or filled) with a conductive or non-conductive material.
    Type: Application
    Filed: June 29, 2006
    Publication date: January 3, 2008
    Inventor: Telesphor Kamgaing
  • Patent number: 7312122
    Abstract: A self-aligned element isolation film structure in a flash memory cell and a forming method thereof are disclosed. An example method of forming a self-aligned element isolation film structure in a flash memory cell forms an insulating layer on a semiconductor substrate and forms a floating gate pattern on the insulating layer. The example method selectively implants ions in a portion of the insulating layer exposed by the floating gate pattern and forms a self-aligned element isolation film on the floating gate pattern by oxidizing and growing the portion of the insulating layer to which the ion implantation is performed.
    Type: Grant
    Filed: December 27, 2004
    Date of Patent: December 25, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Chul Jin Yoon
  • Patent number: 7307324
    Abstract: After an isolation region is formed using a field-forming silicon nitride film, this silicon nitride film is patterned, thereby a gate trench is formed. Next, a gate electrode material is buried into the gate trench, and this is etched back. Thereafter, the silicon nitride is removed, thereby a contact hole is formed. A contact plug is buried into this contact hole. With this arrangement, the contact plug can be formed without using a diffusion layer contact pattern. At the same time, the periphery of the contact plug substantially coincides with a boundary between the element isolation region and the active region. Accordingly, the active region can be reduced.
    Type: Grant
    Filed: October 14, 2005
    Date of Patent: December 11, 2007
    Assignee: Elpida Memory, Inc.
    Inventor: Hiroyuki Uchiyama
  • Publication number: 20070275538
    Abstract: A method of performing an STI gapfill process for semiconductor devices is provided. In a specific embodiment of the invention, the method includes forming an stop layer overlying a substrate. In addition, the method includes forming a trench within the substrate, with the trench having sidewalls, a bottom, and a depth. The method additionally includes forming a liner within the trench, the liner lining the sidewalls and bottom of the trench. Furthermore, the method includes filling the trench to a first depth with a first oxide. The first oxide is filled using a spin-on process. The method also includes performing a first densification process on the first oxide within the trench. In addition, the method includes depositing a second oxide within the trench using an HDP process to fill at least the entirety of the trench. The method also includes performing a second densification process on the first and second oxides within the trench.
    Type: Application
    Filed: October 6, 2006
    Publication date: November 29, 2007
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Ting Cheong Ang
  • Publication number: 20070269957
    Abstract: Methods of fabricating a semiconductor device include forming a mask pattern on a semiconductor substrate and which exposes defined regions of the semiconductor substrate. Oxygen ions are implanted into the defined regions of the semiconductor substrate using the mask pattern as an ion implantation mask. The oxygen ion implanted regions of the semiconductor substrate are annealed at one or more temperatures in a range that is sufficiently high to form silicon oxide substantially throughout the oxygen ion implanted regions by reacting the implanted oxygen ions with silicon in the oxygen ion implanted regions, and that is sufficiently low to substantially prevent oxidation of the semiconductor substrate adjacent to the oxygen ion implanted regions.
    Type: Application
    Filed: February 7, 2007
    Publication date: November 22, 2007
    Inventors: Yong-Won Cha, Dae-Lok Bae
  • Patent number: 7297608
    Abstract: A method employing atomic layer deposition rapid vapor deposition (RVD) conformally deposits a dielectric material on small features of a substrate surface. The resulting dielectric film is then annealed using a high density plasma (HDP) at a temperature under 500° C. in an oxidizing environment. The method includes the following three principal operations: exposing a substrate surface to an aluminum-containing precursor gas to form a substantially saturated layer of aluminum-containing precursor on the substrate surface; exposing the substrate surface to a silicon-containing precursor gas to form the dielectric film; and annealing the dielectric film in a low temperature oxygen-containing high density plasma. The resulting film has improved mechanical properties, including minimized seams, improved WERR, and low intrinsic stress, comparable to a high temperature annealing process (˜800° C.), but without exceeding the thermal budget limitations of advanced devices.
    Type: Grant
    Filed: June 22, 2004
    Date of Patent: November 20, 2007
    Assignee: Novellus Systems, Inc.
    Inventors: George D. Papasouliotis, Raihan M. Tarafdar, Ron Rulkens, Dennis M. Hausmann, Jeff Tobin, Adrianne K. Tipton, Bunsen Nie
  • Patent number: 7294573
    Abstract: According to one exemplary embodiment, a method includes planarizing a layer of polysilicon situated over field oxide regions on a substrate to form polysilicon segments, where the polysilicon segments have top surfaces that are substantially planar with top surfaces of the field oxide regions, and where the field oxide regions have a first height and the polysilicon segments have a first thickness. The method further includes removing a hard mask over a peripheral region of the substrate. According to this exemplary embodiment, the method further includes etching the polysilicon segments to cause the polysilicon segments to have a second thickness, which causes the top surfaces of the polysilicon segments to be situated below the top surfaces of the field oxide regions. The polysilicon segments can be etched by using a wet etch process. The polysilicon segments are situated in a core region of the substrate.
    Type: Grant
    Filed: January 13, 2005
    Date of Patent: November 13, 2007
    Assignees: Spansion LLC, Advanced Micro Devices, Inc.
    Inventors: Krishnashree Achuthan, Unsoon Kim, Kashmir Sahota, Patriz C. Regalado
  • Publication number: 20070254453
    Abstract: A method of forming a graded trench for a shallow trench isolation region is provided. The method includes providing a semiconductor substrate with a substrate region. The method further includes forming a pad oxide layer overlying the substrate region. Additionally, the method includes forming an etch stop layer overlying the pad oxide layer. The method further includes patterning the etch stop layer and the pad oxide layer to expose a portion of the substrate region. In addition, the method includes forming a trench within an exposed portion of the substrate region, the trench having sidewalls and a bottom and a first depth. The method additionally includes forming a dielectric layer overlying the trench sidewalls, the trench bottom, and mesa regions adjacent to the trench. The method further includes removing a first portion of the dielectric layer from the trench bottom to expose the substrate region with a second portion of the dielectric layer remaining on the sidewalls of the trench.
    Type: Application
    Filed: October 13, 2006
    Publication date: November 1, 2007
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Ting Cheong Ang
  • Publication number: 20070252230
    Abstract: A simple, effective and economical method to improved the yield of CMOS devices using contact etching stopper liner, including, single neutral stressed liner, single stressed liner and dual stress liner (DSL), technology is provided. In order to improve the chip yield, the present invention provides a method in which a sputter etching process is employed to smooth/flatten (i.e., thin) the top surface of the contact etch stopper liners. When DSL technology is used, the inventive sputter etching process is used to reduce the complexity caused by DSL boundaries to smooth/flatten top surface of the DSL, which results in significant yield increase. The present invention also provides a semiconductor structure including at least one etched liner.
    Type: Application
    Filed: June 4, 2007
    Publication date: November 1, 2007
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Huilong Zhu, Daewon Yang
  • Patent number: 7279397
    Abstract: A method (200) of forming an isolation structure is presented, in which a hard mask layer (304, 308) is formed (204, 206) over the isolation and active regions (305, 303) of a semiconductor body (306), and a dopant is selectively provided to a portion of the active region (303) proximate the isolation region (305) to create a threshold voltage compensation region (318). After the compensation region (318) is created, the hard mask layer (304, 308) is patterned (218) to create a patterned hard mask. The patterned hard mask is then used in forming (222) a trench (323) in the isolation region (305) near the compensation region (318), and the trench (323) is then filled (224) with a dielectric material (338).
    Type: Grant
    Filed: July 27, 2004
    Date of Patent: October 9, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Manoj Mehrotra, Amitava Chatterjee
  • Patent number: 7268058
    Abstract: Embodiments of the invention provide a method for effecting uniform silicon body height for silicon-on-insulator transistor fabrication. For one embodiment, a sacrificial oxide layer is disposed upon a semiconductor substrate. The oxide layer is etched to form a trench. The trench is then filled with a semiconductor material. The semiconductor material is then planarized with the remainder of the oxide layer and the remainder of the oxide layer is then removed. The semiconductor fins thus exposed are of uniform height to within a specified tolerance.
    Type: Grant
    Filed: January 16, 2004
    Date of Patent: September 11, 2007
    Assignee: Intel Corporation
    Inventors: Robert Chau, Suman Datta, Brian S Doyle, Been-Yih Jin
  • Patent number: 7253064
    Abstract: A cascode I/O driver is described that includes a barrier formed in the shared region between the two transistors. The barrier region allows the I/O driver to be designed to primarily meet I/O requirements. Accordingly, improved operating speeds are achieved. An system is described that includes an I/O driver in parallel with an ESD device. In an embodiment, the I/O driver may assist the ESD device in discharging electrostatic, after the ESD begins conducting.
    Type: Grant
    Filed: May 25, 2004
    Date of Patent: August 7, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Michael D. Chaine, Manny K. F. Ma
  • Patent number: 7208812
    Abstract: The method of manufacturing a semiconductor device has the steps of: etching a semiconductor substrate to form an isolation trench by using as a mask a pattern including a first silicon nitride film and having a window; depositing a second silicon nitride film covering an inner surface of the isolation trench; forming a first silicon oxide film burying the isolation trench; etching and removing the first silicon oxide film in an upper region of the isolation trench; etching and removing the exposed second silicon nitride film; chemical-mechanical-polishing the second silicon oxide film; and etching and removing the exposed first silicon nitride film.
    Type: Grant
    Filed: November 26, 2003
    Date of Patent: April 24, 2007
    Assignee: Fujitsu Limited
    Inventor: Hiroyuki Ohta
  • Patent number: 7205242
    Abstract: The present invention relates to a method for forming an insulating layer in a semiconductor device. After a first oxide film is formed in a trench, an impurity remaining on the first oxide film in the process of etching the first oxide film using a gas containing fluorine is stripped using oxygen plasma or hydrogen plasma. Thus, it can prevent degradation of device properties due to diffusion of the impurity without additional equipment. Therefore, it can help improve reliability of a next-generation device.
    Type: Grant
    Filed: June 29, 2004
    Date of Patent: April 17, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Choon Kun Ryu