Making Of Isolation Regions Between Components (epo) Patents (Class 257/E21.54)

  • Publication number: 20090057642
    Abstract: A memory or switching device includes a mesa and a first electrode conforming to said mesa. The device also includes a second electrode and a phase-change or switching material disposed between said first and second electrodes. The phase-change or switching material is in electrical communication with the first and second electrodes at a first contact region and a second contact region respectively. Also described is a method for making a memory or switching device. The method includes providing a first insulator and configuring the first insulator to provide a mesa. A first conductive layer is provided conforming to the mesa. A phase-change or switching material is provided over a portion of the first conductive layer, and a second conductive layer is provided over the phase-change or switching material.
    Type: Application
    Filed: September 4, 2007
    Publication date: March 5, 2009
    Applicant: Ovonyx, Inc.
    Inventors: David Sargent, Jon Maimon
  • Patent number: 7498198
    Abstract: A structure for a flip chip package assembly includes: a flip chip die with solder attach bumps; a substrate for receiving and solder attaching the flip chip die; an underfill material with spatially varying curing properties applied to fill voids between the flip chip die and the substrate, and for forming a fillet around the perimeter of the flip chip die and extending to the surface of the substrate; and wherein the portion of the underfill material forming the fillets is cured prior to curing the portion of the underfill material that fills the voids between the flip chip die and the substrate.
    Type: Grant
    Filed: April 30, 2007
    Date of Patent: March 3, 2009
    Assignee: International Business Machines Corporation
    Inventors: Sylvie S. Charles, David D. Danovitch, Sylvain S. E. Ouimet, Julien J. Sylvestre
  • Patent number: 7494874
    Abstract: A method of manufacturing a flash memory device includes the steps of forming a tunnel oxide layer and a polysilicon layer over a semiconductor substrate. An etch process is then performed to form a pattern and a trench. An isolation layer is formed in the trench. A polysilicon spacer layer is formed on the resulting surface. A specific region of the polysilicon spacer layer and the isolation layer is etched in a single etch process to form a recess hole in a central portion of the isolation layer. The polysilicon spacer layer is then removed.
    Type: Grant
    Filed: June 26, 2007
    Date of Patent: February 24, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Myung-Kyu Ahn
  • Publication number: 20090047770
    Abstract: A method of manufacturing an integrated circuit (IC) utilizes a shallow trench isolation (STI) technique. The shallow trench isolation technique is used in strained silicon (SMOS) process. The liner for the trench is formed from a semiconductor or metal layer which is deposited in a low temperature process which reduces germanium outgassing. The low temperature process can be a ALD process.
    Type: Application
    Filed: September 5, 2008
    Publication date: February 19, 2009
    Inventors: Haihong Wang, Minh-Van Ngo, Qi Xiang, Paul R. Besser, Eric N. Paton, Ming-Ren Lin
  • Publication number: 20090047766
    Abstract: A method for fabricating recess channel MOS transistors of the present invention utilizes a lithography process to form trenches in the recess channel MOS transistors after finishing a STI process. Furthermore, the method of the present invention can make the critical dimension variation to be controlled in a range required in the precision semiconductor process. Therefore, the short problem between the transistors can be avoided.
    Type: Application
    Filed: January 7, 2008
    Publication date: February 19, 2009
    Inventor: Shian-Jyh Lin
  • Publication number: 20090045481
    Abstract: A semiconductor device has an active portion having at least one well region in a semiconductor layer, and a breakdown voltage maintaining structure surrounding the active portion. The maintaining structure includes a conductor layer over each of a plurality of guard rings with an insulating film interposed in between and connected to the respective guard ring. An inner side end portion of each conductor layer projects over the immediate adjacent inner side guard ring. The impurity concentration of the guard rings is set between the impurity concentrations of the semiconductor layer and the well regions. A field plate can extend over the innermost conductor layer with the insulating film interposed in between. The field plate is in contact with the outermost well region and is in contact with the first conductor layer. The outer side end of the field plate extends outwardly beyond an outer side end of the innermost conductor layer.
    Type: Application
    Filed: July 10, 2008
    Publication date: February 19, 2009
    Applicant: FUJI ELECTRIC DEVICE TECHNOLOGY CO., LTD.
    Inventors: Yasushi NIIMURA, Takashi KOBAYASHI, Masanori INOUE, Yasuhiko ONISHI
  • Patent number: 7491623
    Abstract: The invention is directed to a structure and method of forming a structure having a sealed gate oxide layer. The structure includes a gate oxide layer formed on a substrate and a gate formed on the gate oxide layer. The structure further includes a material abutting walls of the gate and formed within an undercut underneath the gate to protect regions of the gate oxide layer exposed by the undercut. Source and drain regions are isolated from the gate by the material.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: February 17, 2009
    Assignee: International Business Machines Corporation
    Inventors: Xiaomeng Chen, Shwu-Jen Jeng, Byeong Y. Kim, Hasan M. Nayfeh
  • Publication number: 20090042355
    Abstract: A plurality of IC regions are formed on a semiconductor wafer, which is cut into individual chips incorporating ICs, wherein wiring layers and insulating layers are sequentially formed on a silicon substrate. In order to reduce height differences between ICs and scribing lines, a planar insulating layer is formed to cover the overall surface with respect to ICs, seal rings, and scribing lines. In order to avoid occurrence of breaks and failures in ICs, openings are formed to partially etch insulating layers in a step-like manner so that walls thereof are each slanted by prescribed angles ranging from 20° to 80°. For example, a first opening is formed with respect to a thin-film element section, and a second opening is formed with respect to an external-terminal connection pad.
    Type: Application
    Filed: October 17, 2008
    Publication date: February 12, 2009
    Applicant: YAMAHA CORPORATION
    Inventor: Hiroshi Naito
  • Publication number: 20090039460
    Abstract: A integrated semiconductor device has a first semiconductor layer of a first conductivity type, a second semiconductor layer of the first conductivity type over the first layer, a third semiconductor layer of a second conductivity type over the second layer, an isolation trench extending through the entire depth of the second and third layers into the first layer, and a first region of the second conductivity type located next to the isolation trench and extending from an interface between the second and third layers, along an interface between the second layer and the isolation trench. This first region can help reduce a concentration of field lines where the isolation trench meets the interface of the second and third layers, and hence provide a better reverse breakdown characteristic.
    Type: Application
    Filed: June 27, 2008
    Publication date: February 12, 2009
    Applicant: AMI SEMICONDUCTOR BELGIUM BVBA
    Inventors: Peter Moens, Filip Bauwens, Joris Baele
  • Publication number: 20090042357
    Abstract: A method of fabricating integrated circuit structures utilizes selective oxygen implantation to dielectrically isolate semiconductor structures using no extra masks. Existing masks are utilized to introduce oxygen into bulk silicon with subsequent thermal oxide growth. Since the method uses bulk silicon, it is cheaper than silicon-on-insulator (SOI) techniques. It also results in bulk-silicon that is latch-up immune.
    Type: Application
    Filed: August 9, 2007
    Publication date: February 12, 2009
    Inventors: Denis Finbarr O'Connell, Ann Margaret Concannon
  • Publication number: 20090042358
    Abstract: The semiconductor device fabrication method according the present invention having, forming an interlayer dielectric film containing carbon above a semiconductor substrate, forming a protective film on that portion of the interlayer dielectric film, which is close to the surface and in which the carbon concentration is low, forming a trench by selectively removing a desired region of the interlayer dielectric film and protective film, such that the region extends from the surface of the protective film to the bottom surface of the interlayer dielectric film, supplying carbon to the interface between the interlayer dielectric film and protective film, and forming a conductive layer by burying a conductive material in the trench.
    Type: Application
    Filed: October 14, 2008
    Publication date: February 12, 2009
    Inventors: Takahiko YOSHIZAWA, Noriaki Matsunaga, Naofumi Nakamura
  • Publication number: 20090039461
    Abstract: The present invention relates to a semiconductor-on-insulator (SOI) substrate having one or more device regions. Each device region comprises at least a base semiconductor substrate layer and a semiconductor device layer with a buried insulator layer located therebetween, while the semiconductor device layer is supported by one or more vertical insulating pillars. The vertical insulating pillars each preferably has a ledge extending between the base semiconductor substrate layer and the semiconductor device layer. The SOI substrates of the present invention can be readily formed from a precursor substrate structure with a “floating” semiconductor device layer that is spaced apart from the base semiconductor substrate layer by an air gap and is supported by one or more vertical insulating pillars. The air gap is preferably formed by selective removal of a sacrificial layer located between the base semiconductor substrate layer and the semiconductor device layer.
    Type: Application
    Filed: October 20, 2008
    Publication date: February 12, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: William K. Henson, Dureseti Chidambarrao, Kern Rim, Hsingjen Wann, Hung Y. Ng
  • Publication number: 20090032855
    Abstract: By providing a conductive connection between the active semiconductor layer and the substrate material in an SOI device during the anisotropic etch process for forming a deep trench portion in the substrate material, the uniformity of the etch conditions may be increased, thereby enabling greater etch depth and enhanced controllability with respect to the shape of the deep trench portion.
    Type: Application
    Filed: February 26, 2008
    Publication date: February 5, 2009
    Inventors: Patrick Press, Sven Beyer
  • Publication number: 20090026527
    Abstract: According to an aspect of the present invention, there is provided a method for manufacturing a semiconductor device including: sequentially forming a first insulating film, a first electrode film, a second insulating film, and a second electrode film on a substrate; forming a groove that separates the second electrode film, the second insulating film and the first electrode film; forming an insulating film inside the groove so that an upper surface thereof is positioned between upper surfaces of the second electrode film and the second insulating film; forming an overhung portion on the second electrode film so as to overhang on the insulating film by performing a selective growth process; and forming a low resistance layer at the overhung portion and the second electrode film by performing an alloying process.
    Type: Application
    Filed: July 16, 2008
    Publication date: January 29, 2009
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Akihiko RYUSENJI, Minori KAJIMOTO, Yugo IDE
  • Publication number: 20090029521
    Abstract: An isolation structure of a semiconductor device is formed by forming a hard mask layer on a semiconductor substrate having active and field regions to expose the field region. A trench is defined by etching the exposed field region of the semiconductor substrate using the hard mask as an etch mask. An SOG layer is formed in the trench partially filling the trench. An amorphous aluminum oxide layer is formed on the resultant substrate including the SOG layer. An HDP layer is formed on the amorphous aluminum oxide layer to completely fill the trench. The HDP layer and the amorphous aluminum oxide layer are subjected to CMP to expose the hard mask. The hard mask and portions of the amorphous aluminum oxide layer that are formed on the HDP layer are removed. The amorphous aluminum oxide layer is crystallized.
    Type: Application
    Filed: October 1, 2008
    Publication date: January 29, 2009
    Inventors: Dong Sun SHEEN, Seok Pyo SONG, Sang Tae AHN, Hyeon Ju AN
  • Publication number: 20090023266
    Abstract: A method of manufacturing a semiconductor device, includes forming a structure wherein a first alignment mark is provided in a first alignment-mark arrangement area of a first layer, a second alignment mark is provided in a second alignment-mark arrangement area of a second layer, a dummy pattern is provided above the first alignment-mark arrangement area, and substantially no dummy pattern is provided above the second alignment-mark arrangement area, and aligning a third layer provided above the structure by using the second alignment mark.
    Type: Application
    Filed: July 17, 2008
    Publication date: January 22, 2009
    Inventor: Masaaki HATANO
  • Publication number: 20090021873
    Abstract: It is described an Electro Static Discharge protection, wherein diodes are arranged on two electric paths both extending in between two conductors which are connected with input terminals of an ESD sensitive electronic component. Each path comprises two diodes arranged in series and with opposite polarity with respect to each other. At least one of the totally four diodes comprises a different reverse breakdown voltage. The protection circuit is formed integrally with the ESD sensitive electronic component. Due to the serial connection of two diodes in each path the corresponding ESD protection circuit comprises an extremely low capacitance.
    Type: Application
    Filed: February 13, 2007
    Publication date: January 22, 2009
    Applicant: NXP B.V.
    Inventors: Matthias Spode, Hans Martin Ritter, Ruediger Leuner
  • Publication number: 20090017593
    Abstract: Methods for rounding the bottom corners of a shallow trench isolation structure are described herein. Embodiments of the present invention provide a method comprising forming a first masking layer on a sidewall of an opening in a substrate, removing, to a first depth, a first portion of the substrate at a bottom surface of the opening having the first masking layer therein, forming a second masking layer on the first masking layer in the opening, and removing, to a second depth, a second portion of the substrate at the bottom surface of the opening having the first and second masking layers therein. Other embodiments also are described.
    Type: Application
    Filed: July 10, 2008
    Publication date: January 15, 2009
    Inventors: Albert Wu, Runzi Chang
  • Publication number: 20090014773
    Abstract: A method for fabricating the memory structure includes: providing a substrate having a pad, forming an opening in the pad, forming a first spacer on a sidewall of the opening, filling the opening with a sacrificial layer, removing the first spacer and exposing a portion of the substrate, removing the exposed substrate to define a first trench and a second trench, removing the sacrificial layer to expose a surface of the substrate to function as a channel region, forming a first dielectric layer on a surface of the first trench, a surface of the second trench and a surface of the channel region, filling the first trench and the second trench with a first conductive layer, forming a second dielectric layer on a surface of the first conductive layer and the surface of the channel region, filling the opening with a second conductive layer, and removing the pad.
    Type: Application
    Filed: November 29, 2007
    Publication date: January 15, 2009
    Inventors: Ching-Nan Hsiao, Ying-Cheng Chuang, Chung-Lin Huang, Shih-Yang Chiu
  • Publication number: 20090017596
    Abstract: Some embodiments include methods of forming isolation regions in which spin-on material (for example, polysilazane) is converted to a silicon dioxide-containing composition. The conversion may utilize one or more oxygen-containing species (such as ozone) and a temperature of less than or equal to 300° C. In some embodiments, the spin-on material is formed within an opening in a semiconductor material to form a trenched isolation region. Other dielectric materials may be formed within the opening in addition to the silicon dioxide-containing composition formed from the spin-on material. Such other dielectric materials may include silicon dioxide formed by chemical vapor deposition and/or silicon dioxide formed by high-density plasma chemical vapor deposition.
    Type: Application
    Filed: July 9, 2007
    Publication date: January 15, 2009
    Inventors: Robert J. Hanson, Janos Fucsko
  • Publication number: 20090011570
    Abstract: A method of manufacturing a semiconductor device includes removing a part of a semiconductor substrate to form a protruding portion and a recess portion in a surface area of the semiconductor substrate, forming a first epitaxial semiconductor layer in the recess portion, forming a second epitaxial semiconductor layer on the protruding portion and the first epitaxial semiconductor layer, removing a first part of the second epitaxial semiconductor layer with a second part of the second epitaxial semiconductor layer left to expose a part of the first epitaxial semiconductor layer, and etching the first epitaxial semiconductor layer from the exposed part of the first epitaxial semiconductor layer to form a cavity under the second part of the second epitaxial semiconductor layer.
    Type: Application
    Filed: June 25, 2008
    Publication date: January 8, 2009
    Inventors: Ichiro Mizushima, Yoshio Ozawa, Takashi Nakao, Akihito Yamamoto, Takashi Suzuki, Masahiro Kiyotoshi, Minako Inukai, Kaori Umezawa, Hiroaki Yamada
  • Publication number: 20090001617
    Abstract: Provided are an alignment key, a method for fabricating the alignment key, and a method for fabricating a thin film transistor substrate using the alignment key. The method for fabricating the alignment key includes forming a first metal layer on a base substrate, forming a first alignment key and a first mark portion of a second alignment key by selectively patterning the first metal layer, forming a dielectric on the first metal layer, forming a second metal layer on the dielectric, and forming a second mark portion of the second alignment key on the dielectric by selectively patterning the second metal layer.
    Type: Application
    Filed: June 27, 2008
    Publication date: January 1, 2009
    Inventors: Youn Gyoung Chang, Seung Hee Nam, Nam Kook Kim, Soon Sung Yoo
  • Publication number: 20090004814
    Abstract: The invention relates to a method of fabricating a flash memory device. According to the method, select transistors and memory cells are formed on, and junctions are formed in a semiconductor substrate. The semiconductor substrate between a select transistor and an adjacent memory cell are over etched using a hard mask pattern. Accordingly, migration of electrons can be prohibited and program disturbance characteristics can be improved. Further, a void is formed between the memory cells. Accordingly, an interference phenomenon between the memory cells can be reduced and, therefore, the reliability of a flash memory device can be improved.
    Type: Application
    Filed: December 26, 2007
    Publication date: January 1, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Eun Soo Kim, Whee Won Cho, Seung Hee Hong
  • Publication number: 20090004820
    Abstract: The invention relates to a method of forming an isolation layer in a flash memory device and comprises providing a semiconductor substrate in which a tunnel insulating layer and a conductive layer are formed on an active region and a trench is formed on an isolation region; forming a first insulating layer in a lower portion of the trench; forming a second insulating layer on the semiconductor substrate and the first insulating layer including the trench to protect a side wall of the conductive layer; forming a third insulating layer in the trench to form an isolation layer; and adjusting an effective field height (EFH) of the isolation layer through a first etching process.
    Type: Application
    Filed: January 25, 2008
    Publication date: January 1, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Dong Hwan Lee, Byung Soo Park
  • Publication number: 20090004815
    Abstract: Disclosed herein is a method of making a semiconductor device. According to the method, a flowable oxide (FOX) is deposited over a semiconductor substrate, and a local active region is exposed to grow an active region, by a silicon epitaxial growth (SEG) method, to prevent generation of a void when a device isolation structure is formed by a Shallow Trench Isolation (STI) method, and to prevent formation of stress between the semiconductor substrate and the FOX.
    Type: Application
    Filed: November 5, 2007
    Publication date: January 1, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Yeong Eui Hong
  • Publication number: 20090004819
    Abstract: In one aspect of the inventive method, a tunnel insulating film, a first conductive layer, and an isolation mask pattern are formed over a semiconductor substrate. The first conductive layer and the tunnel insulating film are patterned along the isolation mask pattern. A trench is formed in the semiconductor substrate. The trench is gap filled with a first insulating film. A polishing process is performed in order to expose the first conductive layer. A height of the first insulating film is lowered. The first conductive layer on the first insulating film is gap-filled with a second insulating film.
    Type: Application
    Filed: December 24, 2007
    Publication date: January 1, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Whee Won Cho, Eun Soo Kim, Suk Joong Kim
  • Publication number: 20090004813
    Abstract: A method and system are provided for fabricating a semiconductor device that includes a vertical channel transistor. An area of a buried bit line is uniformly formed by an isolation trench. The width of the isolation trench is adjusted by controlling the thickness of spacers. Consequently, the area of the buried bit line is relatively large compared with that of a typical buried bit line. The resistance characteristics of the buried bit line are improved and stability and reliability of the semiconductor device are ensured.
    Type: Application
    Filed: December 6, 2007
    Publication date: January 1, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventor: Min-Suk LEE
  • Publication number: 20080315347
    Abstract: Fabricating an integrated circuit using a cap layer that includes one or more gaps or voids. The gaps or voids are provided prior to performing deposition and cure for an inter-layer dielectric (ILD) layer adjoining the cap layer. The gaps or voids reduce and prevent tensile stress buildup by allowing for stress relaxation, hence preventing catastrophic failure of the integrated circuit.
    Type: Application
    Filed: June 25, 2007
    Publication date: December 25, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Griselda Bonilla, Shyng-Tsong Chen, Ronald A. DellaGuardia, Qinghuang Lin, Kelly Malone, Shom S. Ponoth, Chih-Chao Yang
  • Publication number: 20080318389
    Abstract: The formation of an alignment key for overlay measurement of a semiconductor device formed by sequentially forming an inter-metal dielectric layer and a capping layer over a semiconductor substrate, and patterning the inter-metal dielectric layer and a capping layer at an alignment key region to thereby form an alignment key hole. A metal layer may then be deposited over the semiconductor substrate including alignment key hole and then an uppermost surface of the deposited metal layer may then be polished to thereby form the alignment key having a step. Accordingly, a dishing phenomenon occurring at the time of polishing using a capping layer can be prevented and an alignment key having a desired step can be formed.
    Type: Application
    Filed: June 12, 2008
    Publication date: December 25, 2008
    Inventor: Myung-Soo Kim
  • Publication number: 20080318390
    Abstract: A method for fabricating a semiconductor device according to the present invention is a method for fabricating a semiconductor device including a substrate layer including a plurality of first regions each having an active region and a plurality of second regions each being provided between adjacent ones of the first region. The fabrication method includes an isolation insulation film formation step of forming an isolation insulation film in each of the second regions so that a surface of the isolation insulation film becomes at the same height as that of a surface of a gate oxide film covering the active region, a peeling layer formation step of forming a peeling layer by ion-implanting hydrogen into the substrate layer after the isolation insulation film formation step, and a separation step of separating part of the substrate layer along the peeling layer.
    Type: Application
    Filed: August 12, 2008
    Publication date: December 25, 2008
    Applicant: Sharp Kabushiki Kaisha
    Inventors: Yasumori Fukushima, Masao Moriguchi, Yutaka Takafuji
  • Publication number: 20080315172
    Abstract: An integrated circuit includes a vertical diode defined by crossed line lithography.
    Type: Application
    Filed: June 21, 2007
    Publication date: December 25, 2008
    Inventors: Thomas Happ, Jan Boris Philipp
  • Publication number: 20080315373
    Abstract: A method of enabling alignment of a wafer in at least one exposure step of an integrated circuit process after a UV-blocking metal layer is formed over the whole wafer covering a patterned upmost metal layer of the integrated circuit is described, wherein the wafer has an edge portion where a composite dielectric layer corresponding to the dielectric layers of the integrated circuit is formed. The method includes forming a cavity in the composite dielectric layer over the edge portion of the wafer in the patterning process of the upmost metal layer, such that an alignment mark is formed after the UV-blocking metal layer is formed.
    Type: Application
    Filed: June 25, 2007
    Publication date: December 25, 2008
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventor: Chin-Cheng Yang
  • Publication number: 20080318392
    Abstract: A method for forming shallow trench isolation structures is provided. The method comprises the following steps: providing a substrate with a “v” shaped trench, forming a first dielectric layer to cover the upper portion of the inner wall of the trench; conducting the first etching process to pull back the uncovered inner wall of the trench; removing the first dielectric layer; and forming a second dielectric layer to cover the trench and form a void inside the trench.
    Type: Application
    Filed: September 28, 2007
    Publication date: December 25, 2008
    Applicant: Promos Technologies Inc.
    Inventors: Kuo-Hsiang Hung, Chuan-Chi Chen
  • Patent number: 7465641
    Abstract: Manufacturing a semiconductor device by removing the insulation film in an alignment mark-forming region, depositing a first semiconductor layer, removing the insulation film on the semiconductor substrate after the second semiconductor layer is formed, forming a first exposing region for exposing the semiconductor substrate through the second semiconductor layer and the first semiconductor layer with reference to the second semiconductor layer in the alignment mark-forming region as a first alignment mark for positioning, while forming, on the semiconductor substrate, a second alignment mark, forming a second exposing region for exposing the first semiconductor layer by using the second alignment mark as a reference for positioning, forming a cavity and forming a buried insulation layer in the cavity, and forming a first grate electrode by using the second alignment mark as a reference for positioning.
    Type: Grant
    Filed: March 29, 2006
    Date of Patent: December 16, 2008
    Assignee: Seiko Epson Corporation
    Inventors: Toshiki Hara, Kei Kanemoto
  • Patent number: 7465643
    Abstract: A method for manufacturing a semiconductor device includes subjecting a semiconductor substrate to thermal treatment at a temperature ranging from 770 to 830° C. to fix channel ions then forming a HTO film. The method thereby prevents a threshold voltage of a gate from changing due to diffusion of channel ions.
    Type: Grant
    Filed: October 5, 2006
    Date of Patent: December 16, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: Dae Young Kim
  • Publication number: 20080305610
    Abstract: A method of forming a shallow trench isolation structure includes steps of providing a substrate having a patterned mask layer formed thereon, wherein a trench is located in the substrate and the patterned mask layer exposes the trench. Thereafter, a dielectric layer is formed over the substrate to fill the trench. Then, a main polishing process with a first polishing rate is performed to remove a portion of the dielectric layer. An assisted polishing process is performed to remove the dielectric layer and a portion of the mask layer. The assisted polishing process includes steps of providing a slurry in a first period of time and then providing a solvent and performing a polishing motion of a second polishing rate in a second period of time. The second polishing rate is slower than the first polishing rate. Further, the mask layer is removed.
    Type: Application
    Filed: August 12, 2008
    Publication date: December 11, 2008
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Yen-Chu Chen, Hsin-Kun Chu, Teng-Chun Tsai, Chia-Hsi Chen
  • Publication number: 20080305612
    Abstract: A semiconductor device such as a flash memory includes a semiconductor substrate, two gate insulating films formed on the substrate so as to have a first film thickness and a second film thickness smaller than the first film thickness respectively, and a polycrystalline silicon film formed on the gate insulating films so that parts of the polycrystalline silicon film on the respective gate insulating films are on a level with each other and serving as a gate electrode. The substrate is formed with a recess defined by a bottom and sidewalls substantially perpendicular to the bottom, the recess corresponding to the part of the gate insulating film with the first film thickness.
    Type: Application
    Filed: June 2, 2008
    Publication date: December 11, 2008
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Ryuichi KAMO, Minori KAJIMOTO, Hiroaki TSUNODA, Yuuichiro MURAHAMA
  • Publication number: 20080299739
    Abstract: According to an aspect of an embodiment, a method of manufacturing a semiconductor device has forming a first insulating film over a rear surface of a plurality of silicon substrates, annealing the plurality of silicon substrates to degas the oxide species in the first insulating film, and oxidizing the surface of the plurality of silicon substrates in a batch process after annealing the silicon substrates.
    Type: Application
    Filed: May 9, 2008
    Publication date: December 4, 2008
    Applicant: FUJITSU LIMITED
    Inventors: Kazutaka YOSHIZAWA, Toru ANEZAKI, Katsuaki OOKOSHI, Teruki MORISHITA, Hajime WADA
  • Publication number: 20080296637
    Abstract: A semiconductor device includes first gate structures, second gate structures, a first capping layer pattern, a second capping layer pattern, first spacers, second spacers, third spacers, and a substrate having first impurity regions and second impurity regions. The first gate structures are arranged on the substrate at a first pitch. The second gate structures are arranged on the substrate at a second pitch greater than the first pitch. The first capping layer pattern has segments extending along side faces of the first gate structures and segments extending along the substrate. The second capping layer pattern has segments extending along the second gate structures and segments extending along the substrate. The first spacers and the second spacers are stacked on the second capping layer pattern. The third spacers are formed on the first capping layer pattern.
    Type: Application
    Filed: May 29, 2008
    Publication date: December 4, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Soo-Ho SHIN, Sun-Hoo PARK, Byung-Hyug ROH, Young-Woong SON, Sang-Wook LEE
  • Publication number: 20080296725
    Abstract: A semiconductor component includes a substrate, two isolation structures, a conductor pattern and a dielectric layer. The isolation structures are disposed in the substrate, and each of the isolation structures has protruding portions protruding from the surface of the substrate. A trench is formed between the protruding portions. The included angle formed by the sidewall of the protruding portion and the surface of the substrate is an obtuse angle. The conductor pattern is disposed in the trench and fills the trench up. The dielectric layer is disposed between the conductor pattern and the substrate.
    Type: Application
    Filed: December 13, 2007
    Publication date: December 4, 2008
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Ching-Nan Hsiao, Chung-Lin Huang, Chen-Yu Tsai, Chung-Yuan Lee
  • Publication number: 20080293215
    Abstract: Provided are methods for fabricating semiconductor devices incorporating a fin-FET structure that provides body-bias control, exhibits some characteristic advantages associated with SOI structures, provides increased operating current and/or reduced contact resistance. The methods for fabricating semiconductor devices include forming insulating spacers on the sidewalls of a protruding portion of a first insulation film; forming a second trench by removing exposed regions of the semiconductor substrate using the insulating spacers as an etch mask, and thus forming fins in contact with and supported by the first insulation film. After forming the fins, a third insulation film is formed to fill the second trench and support the fins. A portion of the first insulation film is then removed to open a space between the fins in which additional structures including gate dielectrics, gate electrodes and additional contact, insulating and storage node structures may be formed.
    Type: Application
    Filed: July 28, 2008
    Publication date: November 27, 2008
    Inventors: Suk-Pil Kim, Yoon-Dong Park, Won-Joo Kim, Dong-Gun Park, Eun-Suk Cho, Suk-Kang Sung, Byung-Yong Choi, Tae-Yong Kim, Choong-Ho Lee
  • Publication number: 20080290445
    Abstract: A method for manufacturing a semiconductor body with a trench comprises the steps of etching the trench (11) in the semiconductor body (10) and forming a silicon oxide layer (12) on at least one side wall (14) of the trench (11) and on the bottom (15) of the trench (11) by means of thermal oxidation. Furthermore, the silicon oxide layer (12) on the bottom (15) of the trench (11) is removed and the trench (11) is filled with polysilicon that forms a polysilicon body (13).
    Type: Application
    Filed: April 17, 2008
    Publication date: November 27, 2008
    Applicant: austriamicrosystems AG Schloss Premstatten
    Inventors: Martin Knaipp, Bernhard Loffler
  • Publication number: 20080291959
    Abstract: In a blue-violet semiconductor laser device, a pair of side surfaces of a semiconductor device structure composed of a nitride based semiconductor layer is respectively positioned inside a pair of side surfaces of a partial substrate composed of a Ge substrate. This causes the pair of side surfaces of the semiconductor device structure and the pair of side surfaces of the partial substrate to be respectively spaced apart from each other by a predetermined distance in a direction perpendicular to the pair of side surfaces of the semiconductor device structure. On the partial substrate, current blocking layers are formed in a region between the pair of side surfaces of the partial substrate and the pair of side surfaces of the semiconductor device structure.
    Type: Application
    Filed: May 20, 2008
    Publication date: November 27, 2008
    Applicant: Sanyo Electric Co., Ltd.
    Inventors: Seiichi Tokunaga, Kunio Takeuchi
  • Publication number: 20080290461
    Abstract: An integrated power semiconductor device has an isolation structure having two or more isolation trenches, and one or more regions in between the isolation trenches, and a bias arrangement coupled to the regions to divide a voltage across the isolation structure between the isolation trenches. By dividing the voltage, the reverse breakdown voltage characteristics such as voltage level, reliability and stability can be improved for a given area of device, or for a given complexity of device, and avalanche breakdown at weaknesses in isolation structures can be reduced or avoided.
    Type: Application
    Filed: May 23, 2008
    Publication date: November 27, 2008
    Applicant: AMI Semiconductor Belgium BVBA
    Inventors: Peter Moens, Bart Desoete
  • Publication number: 20080293213
    Abstract: A method for preparing a shallow trench isolation comprising the steps of forming at least one trench in a semiconductor substrate, performing an implanting process to implant nitrogen-containing dopants into an upper sidewall of the trench such that the concentration of the nitrogen-containing dopants in the upper sidewall is higher than that in the bottom sidewall of the trench, forming a spin-on dielectric layer filling the trench and covering the surface of the semiconductor substrate, performing a thermal oxidation process to form a silicon oxide layer covering the inner sidewall. Since the nitrogen-containing dopants can inhibit the oxidation rate and the concentration of the nitrogen-containing dopants in the upper inner sidewall is higher than that in the bottom inner sidewall of the trench, the thickness of the silicon oxide layer formed by the thermal oxidation process is larger at the bottom portion than at the upper portion of the trench.
    Type: Application
    Filed: July 9, 2007
    Publication date: November 27, 2008
    Applicant: PROMOS TECHNOLOGIES INC.
    Inventors: Neng Hui Yang, Hai Jun Zhao
  • Publication number: 20080290447
    Abstract: A method of making a semiconductor-oxide-nitride-oxide-semiconductor (SONOS) device by a process of growing Meta-stable poly silicon (MPS) regions is provided. Meta-stable poly silicon (MPS) regions are formed in the active region of a semiconductor substrate, dielectric materials are formed on the MPS regions, and control gates are formed on parts of the dielectric materials.
    Type: Application
    Filed: May 23, 2008
    Publication date: November 27, 2008
    Applicant: DONGBU HITEK CO., LTD.
    Inventor: Tae-Woong Jeong
  • Publication number: 20080286936
    Abstract: A method for preparing a shallow trench isolation comprising the steps of forming at least one trench in a semiconductor substrate, performing an implanting process to implant nitrogen-containing dopants into an upper sidewall of the trench such that the concentration of the nitrogen-containing dopants in the upper sidewall is higher than that in the bottom sidewall of the trench, forming a spin-on dielectric layer filling the trench and covering the surface of the semiconductor substrate, performing a thermal oxidation process to form a silicon oxide layer covering the inner sidewall. Since the nitrogen-containing dopants can inhibit the oxidation rate and the concentration of the nitrogen-containing dopants in the upper inner sidewall is higher than that in the bottom inner sidewall of the trench, the thickness of the silicon oxide layer formed by the thermal oxidation process is larger at the bottom portion than at the upper portion of the trench.
    Type: Application
    Filed: July 9, 2007
    Publication date: November 20, 2008
    Applicant: PROMOS TECHNOLOGIES INC.
    Inventor: Hai Jun Zhao
  • Publication number: 20080283935
    Abstract: The disclosure provides a trench isolation structure, a semiconductor device, and a method for manufacturing a semiconductor device. The semiconductor device, in one embodiment, includes a substrate having a first device region and a second device region, wherein the first device region includes a first gate structure and first source/drain regions and the second device region includes a second gate structure and second source/drain regions. The semiconductor device further includes a trench isolation structure configured to isolate the first device region from the second device region, the trench isolation structure comprising: 1) an isolation trench located within the substrate, wherein the isolation trench includes an opening portion and a bulbous portion, and further wherein a maximum width of the opening portion is less than a maximum width of the bulbous portion, and 2) dielectric material substantially filling the isolation trench.
    Type: Application
    Filed: May 18, 2007
    Publication date: November 20, 2008
    Applicant: Texas Instruments Incorporated
    Inventors: Seetharaman Sridhar, Craig Hall
  • Publication number: 20080280417
    Abstract: An object is to provide a method for manufacturing, with high yield, a semiconductor device having a crystalline semiconductor layer even if a substrate with low upper temperature limit. A groove is formed in a part of a semiconductor substrate to form a semiconductor substrate that has a projecting portion, and a bonding layer is formed to cover the projecting portion. In addition, before the bonding layer is formed, a portion of the semiconductor substrate to be the projecting portion is irradiated with accelerated ions to form a brittle layer. After the bonding layer and the supporting substrate are bonded together, heat treatment for separation of the semiconductor substrate is performed to provide a semiconductor layer over the supporting substrate. The semiconductor layer is selectively etched, and a semiconductor element is formed and a semiconductor device is manufactured.
    Type: Application
    Filed: March 28, 2008
    Publication date: November 13, 2008
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hideto Ohnuma
  • Publication number: 20080268609
    Abstract: Methods are disclosed for providing stacking fault reduced epitaxially grown silicon for use in hybrid surface orientation structures. In one embodiment, a method includes depositing a silicon nitride liner over a silicon oxide liner in an opening, etching to remove the silicon oxide liner and silicon nitride liner on a lower surface of the opening, undercutting the silicon nitride liner adjacent to the lower surface, and epitaxially growing silicon in the opening. The silicon is substantially reduced of stacking faults because of the negative slope created by the undercut.
    Type: Application
    Filed: June 2, 2008
    Publication date: October 30, 2008
    Inventors: Yun-Yu Wang, Linda Black, Judson R. Holt, Woo-Hyeong Lee, Scott Luning, Christopher D. Sheraw