Introduction Of Conductivity Modifying Dopant Into Semiconductive Material Patents (Class 438/510)
  • Patent number: 6340535
    Abstract: This invention relates to a method for the heat treatment of a ZnSe crystal substrate to dope it with Al as a donor impurity, a ZnSe crystal substrate prepared by this heat treatment and a light-emitting device using the ZnSe crystal substrate, in particular, the method for the heat treatment of a ZnSe crystal substrate comprising previously forming an Al film on the substrate, first subjecting the substrate to a heat treatment in a Se atmosphere and then subjecting to a heat treatment in a Zn atmosphere.
    Type: Grant
    Filed: May 18, 2001
    Date of Patent: January 22, 2002
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Yasuo Namikawa, Shinsuke Fujiwara
  • Publication number: 20020006714
    Abstract: A method for modeling a substrate, which includes obtaining vertically discretized doping profiles in the substrate to facilitate modeling. The method includes employing substrate region names and substrate cross-section names as access keys to permit accessing of the vertically discretized doping profiles. The use of the combination of region names and substrate cross-section names as unique access keys simplifies access to doping profile information for modeling purposes and yields valuable information pertaining to the presence of p-type to n-type material transitions. The information pertaining to transitions may be employed to improve substrate modeling accuracy through the inclusion of junction capacitances with the modeling process.
    Type: Application
    Filed: July 12, 2001
    Publication date: January 17, 2002
    Inventors: Jerome D. Lescot, Bertrand L. Marchand
  • Patent number: 6337260
    Abstract: Transient enhanced diffusion (TED) of ion implanted dopant impurities within a silicon semiconductor substrate is eliminated or substantially reduced by displacing “knocked-on” oxygen atoms from an overlying oxygen-containing layer into the substrate by ion implantation. The “knocked-on” oxygen atoms getter silicon interstitial atoms generated within the substrate by dopant implantation, which are responsible for TED.
    Type: Grant
    Filed: September 22, 2000
    Date of Patent: January 8, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Emi Ishida
  • Patent number: 6337261
    Abstract: Semiconductor processing methods of forming integrated circuitry, and in particular, dynamic random access memory (DRAM) circuitry are described. In one embodiment, a single masking step is utilized to form mask openings over a substrate, and both impurities are provided and material of the substrate is etched through the openings. In one implementation, openings are contemporaneously formed in a photo masking layer over substrate areas where impurities are to be provided, and other areas where etching is to take place. In separate steps, the substrate is doped with impurities, and material of the substrate is etched through the mask openings. In another implementation, two conductive lines are formed over a substrate and a masking layer is formed over the conductive lines. Openings are formed in the masking layer in the same step, with one of the openings being received over one conductive line, and another of the openings being received over the other conductive line.
    Type: Grant
    Filed: October 11, 2000
    Date of Patent: January 8, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Werner Juengling
  • Patent number: 6331493
    Abstract: A low dielectric constant material and a process for controllably reducing the dielectric constant of a layer of such material is provided and comprises the step of exposing the layer of dielectric material to a concentration of an oxygen plasma at a temperature and a pressure sufficient for the oxygen plasma to etch the layer of dielectric material to form voids in the layer of dielectric material. The process may also include the step of controlling the reduction of the dielectric constant by controlling the size and density of the voids. The size and density of the voids can be controlled by varying the pressure under which the reaction takes place, by varying the temperature at which the reaction takes place, by varying the concentration of the oxygen plasma used in the reaction or by varying a combination of these parameters. The process of the present invention is particularly useful in the fabrication of semiconductor devices.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: December 18, 2001
    Assignee: Micron Technology, Inc.
    Inventor: Sujit Sharan
  • Publication number: 20010046756
    Abstract: An efficient method for fabricating dual well type structures uses the same number of masks used in single well type structure fabrication. In a preferred embodiment, the current invention allows low voltage and high voltage n-channel transistors and low voltage and high voltage p-channel transistors to be formed in a single substrate. One mask is used for forming a diffusion well, a second mask for both forming a retrograde well and doping the well to achieve an intermediate threshold voltage in that well, and a third mask for both differentiating the gate oxides for the low voltage devices and doping the threshold voltages to achieve the final threshold voltages.
    Type: Application
    Filed: July 10, 2001
    Publication date: November 29, 2001
    Inventor: Mark A. Helm
  • Patent number: 6319797
    Abstract: An HSQ film 4 is formed on a silicon oxide film 1 and the film 4 is subject to B2H6 plasma irradiation, to form a boron-implanted region 5. After forming a plasma TEOS film 6 on the region, a concave 8 is formed with a hydrofluoric acid-containing etchant, while wet-etching is stopped on the boron-implanted region 5. Then, the exposed HSQ film 4 in the bottom of the concave 8 is dry-etched to form a contact hole 9 reaching an Al interconnection 2. Then, the contact hole 9 is filled with an upper interconnection material to provide a multilayered interconnection structure.
    Type: Grant
    Filed: December 21, 1999
    Date of Patent: November 20, 2001
    Assignee: NEC Corporation
    Inventor: Tatsuya Usami
  • Publication number: 20010041428
    Abstract: Methods and apparatus for modeling noise present in an integrated circuit substrate are disclosed. A position on a surface of the integrated circuit substrate is obtained. A combination of layers associated with the position and defining a vertical column beneath the position is ascertained. A doping profile associated with the combination of layers is obtained. The doping profile includes a plurality of portions, each of which is associated with a different range of substrate depth. Noise in the integrated circuit substrate is then modeled using the obtained doping profile.
    Type: Application
    Filed: July 11, 2001
    Publication date: November 15, 2001
    Applicant: Snaketech, Inc.
    Inventor: Francois J.R. Clement
  • Patent number: 6313000
    Abstract: A vertically-isolated bipolar transistor occupying reduced surface area is fabricated by circumscribing an expected active device region within a first narrow trench. The first trench is filled with sacrificial material impermeable to diffusion of conductivity-altering dopant, and then isolation dopant of a conductivity type opposite to that of the substrate is introduced into the trench-circumscribed silicon region. The introduced isolation dopant is then thermally driven into the substrate, with lateral diffusion of isolation dopant physically constrained by the existing first narrow trench. Epitaxial silicon is then formed over the substrate, with polysilicon formed in regions overlying the filled narrow trench. A second, wider trench encompassing the first trench is etched to consume epitaxial silicon, polysilicon, and the sacrificial material. The second trench is then filled with dielectric material.
    Type: Grant
    Filed: November 18, 1999
    Date of Patent: November 6, 2001
    Assignee: National Semiconductor Corporation
    Inventor: Vassili Kitch
  • Patent number: 6303479
    Abstract: The present invention Is a fabrication method for a short-channel Schottky-barrier field-effect transistor device. The method of the present invention includes introducing channel dopants into a semiconductor substrate such that the dopant concentration varies in the vertical direction and is generally constant in the lateral direction. A gate electrode is formed on the semiconductor substrate, and source and drain electrodes are formed on the substrate to form a Schottky or Schottky-like contact to the substrate.
    Type: Grant
    Filed: December 16, 1999
    Date of Patent: October 16, 2001
    Assignee: Spinnaker Semiconductor, Inc.
    Inventor: John P. Snyder
  • Patent number: 6300185
    Abstract: In a method of forming a polycrystalline silicon film, the polycrystalline silicon film is formed under film formation conditions of a film formation rate of 0.9rav to 1.1rav, where rav (nm/minute) is an average rate of forming the polycrystalline silicon film on each of a plurality of substrates on which oxide films are formed so as to provide the roughness of the interface between the oxide film on the substrate and the polycrystalline silicon film of less than 1 nm. As a result, it is possible to decrease the roughness of the interface between a gate oxide film and the polycrystalline silicon film and to improve reliability for ensuring the long-time use of the gate oxide film.
    Type: Grant
    Filed: July 1, 1999
    Date of Patent: October 9, 2001
    Assignee: NEC Corporation
    Inventor: Taishi Kubota
  • Publication number: 20010027000
    Abstract: Semiconductor processing methods of forming integrated circuitry, and in particular, dynamic random access memory (DRAM) circuitry are described. In one embodiment, a single masking step is utilized to form mask openings over a substrate, and both impurities are provided and material of the substrate is etched through the openings. In one implementation, openings are contemporaneously formed in a photo masking layer over substrate areas where impurities are to be provided, and other areas where etching is to take place. In separate steps, the substrate is doped with impurities, and material of the substrate is etched through the mask openings. In another implementation, two conductive lines are formed over a substrate and a masking layer is formed over the conductive lines. Openings are formed in the masking layer in the same step, with one of the openings being received over one conductive line, and another of the openings being received over the other conductive line.
    Type: Application
    Filed: June 11, 2001
    Publication date: October 4, 2001
    Inventor: Werner Juengling
  • Publication number: 20010023115
    Abstract: A method for forming a thermal silicon nitride on a semiconductor substrate is disclosed. This method allows formation of thermal silicon nitride that is thick enough for a FET gate dielectric, but has a low thermal budget.
    Type: Application
    Filed: May 17, 2001
    Publication date: September 20, 2001
    Inventors: Glen D. Wilk, John M. Anthony, Yi Wei, Robert M. Wallace
  • Publication number: 20010023114
    Abstract: A method of manufacturing a semiconductor device comprising semiconductor elements having semiconductor zones (17, 18, 24, 44, 45) formed in a top layer (4) of a silicon wafer (1) situated on a buried insulating layer (2). In this method, a first series of process steps are carried out, commonly referred to as front-end processing, wherein, inter alia, the silicon wafer is heated to temperatures above 700° C. Subsequently, trenches (25) are formed in the top layer, which extend as far as the buried insulating layer and do not intersect pn-junctions. After said trenches have been filled with insulating material (26, 29), the semiconductor device is completed in a second series of process steps, commonly referred to as back-end processing, wherein the temperature of the wafer does not exceed 400° C. The trenches are filled in a deposition process wherein the wafer is heated to a temperature which does not exceed 500° C.
    Type: Application
    Filed: December 21, 2000
    Publication date: September 20, 2001
    Inventors: Ronald Dekker, Henricus Godefridus Rafael Maas, Cornelis Eustatius Timmering, Pascal Henri Leon Bancken
  • Patent number: 6291324
    Abstract: A method for modeling a substrate, which includes obtaining vertically discretized doping profiles in the substrate to facilitate modeling. The method includes employing substrate region names and substrate cross-section names as access keys to permit accessing of the vertically discretized doping profiles. The use of the combination of region names and substrate cross-section names as unique access keys simplifies access to doping profile information for modeling purposes and yields valuable information pertaining to the presence of p-type to n-type material transitions. The information pertaining to transitions may be employed to improve substrate modeling accuracy through the inclusion of junction capacitances with the modeling process.
    Type: Grant
    Filed: March 27, 2000
    Date of Patent: September 18, 2001
    Assignee: Simplex Solutions, Inc.
    Inventors: Jérôme D. Lescot, Bertrand L. Marchand
  • Patent number: 6291323
    Abstract: The present invention relates to the formation of trench isolation structures that isolate active areas and a preferred doping in the fabrication of a CMOS device with a minimized number of masks. Ions of a P-type dopant are implanted into a semiconductor substrate having therein a P-well and an N-well. Each of the N-well and P-well has therein a trench. The ions of the P-type dopant are implanted beneath each of the trenches in the P-well and the N-well to create a first P-type dopant concentration profile in the semiconductor substrate, wherein the P-well and the N-well are substantially unimplanted by the ions of the P-type dopant in active areas adjacent to the respective trenches therein. A second implanting ions of a P-type dopant is made into the semiconductor substrate. The second implanting is beneath each of the trenches in the P-well and the N-well to form a second P-type dopant concentration profile.
    Type: Grant
    Filed: August 9, 1999
    Date of Patent: September 18, 2001
    Assignee: Micron Technology, Inc.
    Inventor: Fernando Gonzalez
  • Patent number: 6291322
    Abstract: Methods and apparatus for modeling noise present in an integrated circuit substrate are disclosed. A position on a surface of the integrated circuit substrate is obtained. A combination of layers associated with the position and defining a vertical column beneath the position is ascertained. A doping profile associated with the combination of layers is obtained. The doping profile includes a plurality of portions, each of which is associated with a different range of substrate depth. Noise in the integrated circuit substrate is then modeled using the obtained doping profile.
    Type: Grant
    Filed: March 4, 1999
    Date of Patent: September 18, 2001
    Assignee: Snaketech, Inc.
    Inventor: François J. R. Clèment
  • Patent number: 6284631
    Abstract: A technique for forming a film of material (12) from a donor substrate (10). The technique has a step of forming a stressed region in a selected manner at a selected depth (20) underneath the surface. An energy source such as pressurized fluid is directed to a selected region of the donor substrate to initiate controlled cleaving action of the substrate (10) at the selected depth (20), whereupon the cleaving action provides an expanding cleave front to free the donor material from a remaining portion of the donor substrate.
    Type: Grant
    Filed: January 10, 2000
    Date of Patent: September 4, 2001
    Assignee: Silicon Genesis Corporation
    Inventors: Francois J. Henley, Nathan W. Cheung
  • Publication number: 20010018258
    Abstract: The present invention discloses a method for fabricating a semiconductor device which can form a MOSFET device according to a laser doping method. When junctions of the MOSFET device are formed, the MOSFET device has various junction depths by region, by using a doping difference according to the heat and time of a laser irradiation process. As compared with a two-dimensional method for controlling a property of the transistor by a channel width and length, the present invention provides a method for exercising three-dimensional control over the formation of transistors and other junctions in a semiconductor device.
    Type: Application
    Filed: January 2, 2001
    Publication date: August 30, 2001
    Inventor: Kyeong Yoon
  • Patent number: 6281119
    Abstract: A method for making contact with a covered semiconductor layer through a contact hole, includes producing a contact hole in an insulator layer for making contact with at least one covered semiconductor layer. A heavily doped polysilicon layer is produced on the surface of the insulator layer and the contact hole is at least partially filled with heavily doped polysilicon. A metal layer is applied on the heavily doped polysilicon layer for establishing an ohmic connection to the outside. A semiconductor component fabricated according to the method is also provided.
    Type: Grant
    Filed: January 18, 2000
    Date of Patent: August 28, 2001
    Assignee: Infineon Technologies AG
    Inventors: Jenoe Tihanyi, Wolfgang Werner
  • Patent number: 6274464
    Abstract: An epitaxial layer is formed on a P type silicon substrate in which a plurality of P+ buried layer regions, a plurality of N+ buried layer regions, and a P+ field layer region occupying most of the substrate surface are diffused. The substrate is loaded in a reactor with a carrier gas. The substrate is pre-baked at a temperature of approximately 850° C. As the substrate is heated to a temperature of 1050° C., N+ dopant gas is injected into the carrier gas to suppress auto doping due to P+ atoms that escape from the P+ buried layer regions. The substrate is subjected to a high temperature bake cycle in the presence of the N+ dopant gas. A first thin intrinsic epitaxial cap layer is deposited on the substrate, which then is subjected to a high temperature gas purge cycle at 1080° C. A second thin intrinsic epitaxial cap layer then is deposited on the first, and a second high temperature gas purge cycle is performed at 1080° C.
    Type: Grant
    Filed: January 26, 2001
    Date of Patent: August 14, 2001
    Assignee: Texas Instruments Incorporated
    Inventors: Vladimir F. Drobny, Kevin X. Bao
  • Patent number: 6274465
    Abstract: A method for forming a desired junction profile in a semiconductor device. At least one dopant is introduced into a semiconductor substrate. The at least one dopant is diffused in the semiconductor substrate through annealing the semiconductor substrate and the at least one dopant while simultaneously exposing the semiconductor substrate to a DC electric field.
    Type: Grant
    Filed: March 30, 2000
    Date of Patent: August 14, 2001
    Assignee: International Business Machines Corporataion
    Inventors: Arne W. Ballantine, John J. Ellis-Monaghan, Toshiharu Furukawa, Glenn R. Miller, James A. Slinkman
  • Patent number: 6265291
    Abstract: A method of manufacturing an integrated circuit to optimize the contact resistance between impurity diffusing layers and silicide is disclosed herein. The method includes implanting a first material to a layer of semiconductor to create a buried amorphous silicon layer; implanting a second material in the layer of semiconductor and buried amorphous layer, forming a dopant profile region with a curved shape; depositing a layer of metal on the layer of semiconductor; melting the buried amorphous layer to reconfigure the curved shape to a substantially vertical profile of maximum dopant concentration; and forming silicide with the layer of semiconductor and layer of metal, the bottom of the silicide located in the vertical shape on the dopant profile region.
    Type: Grant
    Filed: January 4, 1999
    Date of Patent: July 24, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bin Yu, Emi Ishida
  • Patent number: 6251756
    Abstract: An open apparatus is described for the processing of planar thin semiconductor substrates, particularly for the processing of solar cells. The apparatus includes a first zone for the drying and burn-out of organic components from solid or liquid based dopant sources pre-applied to the substrates. The zone is isolated from the remaining zones of the apparatus by an isolating section to prevent cross-contamination between burn-out zone and the remaining processing zones. All the zones of the apparatus may be formed from a quartz tube around which heaters are placed for raising the temperature inside the quartz tube. Each zone may be purged with a suitable mixture of gases, e.g. inert gases such as argon, as well as oxygen and nitrogen. The zones may also be provided with gaseous dopants such as POCl3 and the present invention includes the sequential diffusion of more than one dopant into the substrates. Some of the zones may be used for driving-in the dopants alternatively, for other processes, e.g. oxidation.
    Type: Grant
    Filed: July 12, 2000
    Date of Patent: June 26, 2001
    Assignee: Interuniversitair Micro-Elektronica Centrum (IMEC vzw)
    Inventors: Jörg Horzel, Jozef Szlufcik, Johan Nijs
  • Patent number: 6251755
    Abstract: The present invention employs a scanned atomic force probe to physical incorporate impurity atoms (dopant or bandgap) into a semiconductor substrate so that the impurity atoms have high resolution and improved placement. Specifically, the method of the present invention comprising a step of physically contacting a semiconductor surface having a layer of a dopant/bandgap source material thereon such that upon said physical contact impurity atoms from the dopant/bandgap source material are driven into the semiconductor substrate.
    Type: Grant
    Filed: April 22, 1999
    Date of Patent: June 26, 2001
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, John Joseph Ellis-Monaghan, James Albert Slinkman
  • Publication number: 20010004541
    Abstract: A simple method for fabricating a field-effect transistor having an anti-punch-through implantation region is provided. After the anti-punch-through implantation region is formed, a semiconductor substrate is locally oxidized by using a mask layer in order to form a gate insulation layer. The method allows the fabrication of field-effect transistors having improved short-channel properties.
    Type: Application
    Filed: November 30, 2000
    Publication date: June 21, 2001
    Inventors: Frank Richter, Dietmar Temmler
  • Patent number: 6245649
    Abstract: A method for forming a retrograde impurity profile in a semiconducting substrate is provided. The method comprises forming a sacrificial layer having a thickness in the range of about 10 Å to about 150 Å on the surface of a semiconducting substrate. Thereafter, an ion implantation process is performed wherein dopant impurity ions are directed through the sacrificial layer and into the semiconducting substrate under conditions effective to form a retrograde impurity profile in the semiconducting substrate.
    Type: Grant
    Filed: February 17, 1999
    Date of Patent: June 12, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: James F. Buller, Jon D. Cheek, Daniel Kadosh, Derick J. Wristers, H. Jim Fulford
  • Patent number: 6235616
    Abstract: Acceptor atoms such as aluminum (Al) and boron (B) are introduced into a silicon carbide (SiC) semiconductor by ion implantation, and carbon (C) atoms additionally are introduced by ion implantation, whereby the electrical activation of the acceptor atoms is enhanced while controlling their diffusion that results from a subsequent thermal treatment. The process enables the production of a p-type SiC semiconductor of better quality.
    Type: Grant
    Filed: March 29, 1999
    Date of Patent: May 22, 2001
    Assignee: Japan Atomic Energy Research Institute
    Inventor: Hisayoshi Itoh
  • Patent number: 6218270
    Abstract: A method of manufacturing a semiconductor device having a silicon substrate containing an impurity diffusion layer is disclosed, that comprises the steps of doping impurities to the silicon substrate through a silicon oxide film with a thickness of 2.5 nm or less at an accelerating voltage of 3 keV or less, the silicon oxide film being formed on the silicon substrate and annealing the silicon substrate with the oxide film left.
    Type: Grant
    Filed: March 3, 1999
    Date of Patent: April 17, 2001
    Assignee: NEC Corporation
    Inventor: Tomoko Yasunaga
  • Patent number: 6207537
    Abstract: The invention relates to method of formation of an impurity region in a semiconductor layer by introducing a dopant impurity as a donor or an acceptor. The formation method comprises the steps of: mixing an impurity gas with a gas containing any one of H2 and an inert gas, electrically discharging the mixed gas, diffusing impurities adhered to the surface of a semiconductor layer into the semiconductor layer, by introducing the discharged impurity gas to the surface of the semiconductor layer and at the same time accelerating ions of the gas containing any one of the H2 and inert gases to irradiate the surface of the semiconductor layer and, by raising the temperature of the surface of the semiconductor layer, electrically activating the same.
    Type: Grant
    Filed: January 19, 1999
    Date of Patent: March 27, 2001
    Assignee: Semiconductor Process Laboratory Co., Ltd.
    Inventors: Noritada Satoh, Bunya Matsui
  • Patent number: 6207538
    Abstract: A method for forming both n and p wells in a semiconductor substrate using a single photolithography masking step, a non-conformal oxide layer and a chemical-mechanical polish step. A screen oxide layer is formed on a semiconductor substrate. A barrier layer is formed on the screen oxide layer. The barrier layer is patterned to form a first opening in the barrier layer over regions of the substrate where first wells will be formed. We implant impurities of a first conductivity type into the substrate to form first wells. In a key step, a non-conformal oxide layer is formed over the first well regions and the barrier layer. It is critical that the non-conformal oxide layer formed using a HDPCVD process. The non-conformal oxide layer is chemical-mechanical polished stopping at the barrier layer. The barrier layer is removed using a selective etch, to form second openings in the remaining non-conformal oxide layer over areas where second well will be formed in the substrate.
    Type: Grant
    Filed: December 28, 1999
    Date of Patent: March 27, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Kuo-Hua Pan, Chu-Wei Hu, Chung-Te Lin, Chin-Hsiung Ho
  • Patent number: 6200870
    Abstract: A method for forming a gate that improves the quality of the gate includes sequentially forming a gate oxide layer, a polysilicon layer, a conductive layer and a masking layer on a substrate. Thereafter, the masking layer, the conductive layer, the polysilicon layer and the gate oxide layer are patterned to form the gate. Then, a passivation layer, for increasing the thermal stability and the chemical stability of the gate, is formed on the sidewall of the conductive layer by ion implantation with nitrogen cations. The nitrogen cations are doped into the substrate, under the gate oxide layer, by ion implantation, which can improve the penetration of the phosphorus ions.
    Type: Grant
    Filed: November 9, 1998
    Date of Patent: March 13, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Kuan Yeh, Tony Lin, Jih-Wen Chou
  • Patent number: 6191012
    Abstract: A method for forming a shallow junction in a semiconductor device includes the steps of ion implanting a molecular antimony dimer (Sb2+) into a semiconductor substrate. The antimony dimer implantation process creates a shallow doped junction having a high dopant concentration and a shallow junction depth. The antimony dimer ion is extracted from an antimony source material at an extremely low extraction voltage. The use of a low extraction voltage enables the antimony dimer ion to be analyzed by an analyzer magnetic within the ion implantation device. The process of the invention can be used to form a variety of shallow dope structures in semiconductor devices, such as source/drain extension regions, implanted resistors, and the like.
    Type: Grant
    Filed: December 3, 1998
    Date of Patent: February 20, 2001
    Assignee: Advanced Micro Devices
    Inventors: Che-Hoo Ng, Matthew S. Buynoski
  • Patent number: 6190571
    Abstract: A semiconductor micromachine and method of making the micromachine, wherein the micromachine includes a substrate and a movable portion made of a semiconductor thin film. The movable portion is arranged opposite the substrate with a gap interposed therebetween, and is supported by acicular bodies. This movable portion is provided with electrode sections, wires and an electrical insulation section interconnecting the electrode sections and the wires. The electrical insulation section prevents crosstalk of signals among the electrode sections and the wires to achieve a high S/N ratio as well as a high degree of design freedom. In order to achieve this purpose, the semiconductor micromachine of the present invention includes.
    Type: Grant
    Filed: December 19, 1997
    Date of Patent: February 20, 2001
    Assignee: Aisin Seiki Kabushiki Kaisha
    Inventor: Manabu Kato
  • Patent number: 6180464
    Abstract: Channel doping is implemented such that dopants remain localized under the gate without migrating under the source/drain juctions during processing, thereby avoiding performance degradation of the finished device. Embodiments include implanting impurities at an acute angle to form a lateral channel implant localized below the gate after activation of source/drain regions, and activating the lateral channel implant by a low-temperature RTA during subsequent metal silicide formation. The use of a low-temperature RTA for electrical activation of the lateral channel implant avoids impurity migration under the source/drain junctions, thereby lowering parasitic junction capacitance and enabling the manufacture of semiconductor devices exhibiting higher circuit speeds with improved threshold voltage control.
    Type: Grant
    Filed: November 24, 1998
    Date of Patent: January 30, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Zoran Krivokapic, Ognjen Milic
  • Patent number: 6177339
    Abstract: Semiconductor processing methods of forming integrated circuitry, and in particular, dynamic random access memory (DRAM) circuitry are described. In one embodiment, a single masking step is utilized to form mask openings over a substrate, and both impurities are provided and material of the substrate is etched through the openings. In one implementation, openings are contemporaneously formed in a photo masking layer over substrate areas where impurities are to be provided, and other areas where etching is to take place. In separate steps, the substrate is doped with impurities, and material of the substrate is etched through the mask openings. In another implementation, two conductive lines are formed over a substrate and a masking layer is formed over the conductive lines. Openings are formed in the masking layer in the same step, with one of the openings being received over one conductive line, and another of the openings being received over the other conductive line.
    Type: Grant
    Filed: August 27, 1998
    Date of Patent: January 23, 2001
    Assignee: Micron Technology, Inc.
    Inventor: Werner Juengling
  • Patent number: 6171914
    Abstract: A method of source/drain and LDD implantation using a single implantation step is described. A gate electrode is formed in an active area on surface of a semiconductor substrate. The gate electrode and the semiconductor substrate are covered with a resist layer. The resist layer in the active area is exposed to lithography source, such as electron-beam direct writing, or other process, wherein a portion of the resist layer overlying the planned LDD regions is exposed to a first energy and a portion of the resist layer overlying the planned source/drain regions is exposed to a second energy greater than the first energy and wherein a portion of the resist layer outside of the active area is not exposed. The resist layer is developed to leave a resist mask having a first thickness in areas not exposed and to leave a resist mask having a second thickness in areas exposed to the first energy and to leave no resist mask in areas exposed to the second energy.
    Type: Grant
    Filed: June 14, 1999
    Date of Patent: January 9, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Ni-Ko Liao, San-De Tzu
  • Patent number: 6169015
    Abstract: An interlock controller device is used in an ion implantation machine to prevent an overdose ions from being implanted into a semiconductor wafer due to fluctuations in the power supply to the ion beam used to scan the wafer. The device stores a pre-selected count corresponding to the number of times the wafer should be scanned at a particular ion beam current in order to achieve a desired implantation dose, and decrements the count each time the wafer is scanned. When the count is decremented to zero, the device turns off the current to the ion beam, thereby terminating ion implantation, even though scanning of the wafer is continued.
    Type: Grant
    Filed: January 14, 2000
    Date of Patent: January 2, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd
    Inventor: Tien Fu-Kang
  • Patent number: 6168981
    Abstract: A method and apparatus for the localized reduction of the lifetime of charge carriers in integrated electronic devices. The method comprises the step of implanting ions, at a high dosage and at a high energy level, of a noble gas, preferably helium, in the active regions of the integrated device so that the ions form bubbles in the active regions. A further thermal treatment is performed after the formation of bubbles of the noble gas in order to improve the structure of the bubbles and to make the noble gas evaporate, leaving cavities in the active regions.
    Type: Grant
    Filed: January 6, 1999
    Date of Patent: January 2, 2001
    Assignee: Consorzio per la Ricerca sulla Microelettronica nel Mezzogiorno
    Inventors: Anna Battaglia, Piergiorgio Fallica, Cesare Ronsisvalle, Salvatore Coffa, Vito Raineri
  • Patent number: 6162711
    Abstract: A method and structure providing a dual layer silicon gate film having a uniform boron distribution therein and an ordered, uniform grain structure. Rapid thermal annealing is used to cause the diffusion of boron from an originally doped film to an originally undoped film, resulting in a uniform boron distribution within the structure, thereby rendering the structure resistant to vertical and lateral diffusion of the boron during subsequent processing at elevated temperatures.
    Type: Grant
    Filed: January 15, 1999
    Date of Patent: December 19, 2000
    Assignee: Lucent Technologies, Inc.
    Inventors: Yi Ma, Stefanie Chaplin, Stephen Carl Kuehne, Brittin Charles Kane, Michael A. Laughery
  • Patent number: 6159812
    Abstract: A method for slowing the diffusion of boron ions in a CMOS structure includes a preanneal step which can be incorporated as part of a step in which silane is deposited across the surface of the wafer. After the last implant on a CMOS device, silane (SiH.sub.4) is deposited over the surface of the wafer using a chemical vapor deposition (CVD) tool. The deposition of silane is done at 400.degree. C. The temperature is raised in the CVD tool to a temperature in the range of 550.degree. C. to 650.degree. C. and held for 30-60 minutes. This temperature does not affect the thin film of silicon which is formed from the silane, yet provides the necessary thermal cycle to "repair" the crucial first 200 .ANG. to 600 .ANG. of the silicon surface. Normal processing steps, including a rapid thermal anneal for 30 seconds at 1025.degree. C. follow. The RTA is necessary to activate the dopants (arsenic and boron) in the source and drain of the respective devices.
    Type: Grant
    Filed: February 6, 1998
    Date of Patent: December 12, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jon Cheek, William A. Whigham, Derick Wristers
  • Patent number: 6153497
    Abstract: A method for determining a cause for defect formation in an insulating material layer deposited on an electrically conductive layer on a wafer surface is disclosed. In the method, on top of a semi-conducting wafer which has a first insulating material layer deposited, a second insulating material layer is deposited to replace an electrically conductive layer. A third insulating material layer is then deposited on top of the second insulating layer and a water jet which has a high pressure is scanned across a top surface of the third insulating layer with the wafer held in a stationary position. Surface defects are then counted in the predetermined path on the top surface of the third insulating layer for determining the cause for defect formation. When no defects are found, the formation is attributed to electrostatic discharges occurring in the metal conductive layer.
    Type: Grant
    Filed: March 30, 1999
    Date of Patent: November 28, 2000
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Renn-Shyan Yeh, Der-Fang Huang, Chao-Hsin Chang, Chih-Chien Hung
  • Patent number: 6136672
    Abstract: A process for semiconductor device fabrication in which a Czochralski silicon substrate is implanted with boron is disclosed. The boron is implanted using an energy of about 500 keV to about 3 MeV and a dose of about 3.times.10.sup.13 /cm.sup.2 to about 3.times.10.sup.14 /cm.sup.2. In order to reduce the threading dislocation density in the substrate to less than about 10.sup.3 /cm.sup.2 at a depth in the substrate of at least about 0.5 .mu.m, after the implant, the substrate is annealed in a two-step process. First the substrate is annealed at a temperature in the range of about 725.degree. C. to about 775.degree. C. followed by an anneal at a temperature of at least about 900.degree. C. The duration of the first step is selected to provide a dislocation density of less than about 10.sup.3 /cm.sup.2 at the desired depth in the substrate.
    Type: Grant
    Filed: August 10, 1998
    Date of Patent: October 24, 2000
    Assignee: Lucent Technologies Inc.
    Inventors: Konstantin K. Bourdelle, David James Eaglesham
  • Patent number: 6130144
    Abstract: A processing method for forming very shallow junctions 25 utilizing the differential diffusion coefficients of impurity dopants 38 in germanium as compared to silicon to confine the dopants 38 to very shallow regions made of substantially pure germanium 34. This processing method takes advantage of known and reliable process steps to create thin layers of Ge 34 with well-controlled thicknesses by conventional methods. The processing method includes the steps of forming a film layer of germanium of a desired thickness on the substrate 28; introducing a dopant material to the germanium film layer 34; and diffusing the dopant material in the germanium film layer 34.
    Type: Grant
    Filed: December 29, 1997
    Date of Patent: October 10, 2000
    Assignee: Texas Instruments Incorporated
    Inventor: Douglas P. Verret
  • Patent number: 6117738
    Abstract: A method for fabricating an improved structure of a high-bias device includes forming multiple doped wells between source/drain regions and a P-type substrate. The doped wells have an increasing order of dopant density from the P-type substrate for the P-type dopant or from a first N-type well for an N-type dopant. The doped multiple wells enclose the source/drain regions so that the source/drain regions do not directly contact with the substrate.
    Type: Grant
    Filed: November 20, 1998
    Date of Patent: September 12, 2000
    Assignee: United Microelectronics Corp.
    Inventor: Ming-Tsung Tung
  • Patent number: 6114225
    Abstract: Efficient transmutation doping of silicon through the bombardment of silicon wafers by a beam of protons is described. A key feature of the invention is that the protons are required to have an energy of at least 4 MeV to overcome the Coulomb barrier, thereby achieving practical utility . When this is done, transmutationally formed phosphorus in concentrations as high as 10.sup.16 atoms per cc. are formed from proton beams having a fluence as low as 10.sup.19 protons per square cm. As a byproduct of the process sulfur is also formed in a practical concentration range of about 10.sup.13 atoms per cc. This is readily removed by annealing at temperatures of the order of 700.degree. C. Because of the high energy of the protons, several silicon wafers may be processed simultaneously. As expected, the additional phosphorus is uniformly deposited throughout the entire thickness of a wafer. Masks, either freestanding or contact, may also be used in order to limit the transmuted regions to particular desired areas.
    Type: Grant
    Filed: November 16, 1998
    Date of Patent: September 5, 2000
    Assignee: Industrial Technology Research Institute
    Inventors: Chungpin Liao, Meihua Chao
  • Patent number: 6114226
    Abstract: A method for manufacturing an electrostatic discharge (ESD) protective circuit. By using the method according to the invention, since the Zener diode, which has low trigger voltage and low power consumption, is formed in the electrostatic protective circuit, the protective ability of the ESD protective circuit is greatly improved as the integration is relatively high. Furthermore, it is necessary to use an extra photo mask as the ESD implantation step and the Zener breakdown implantation step are performed when the internal circuit and the ESD protective circuit are formed simultaneously, so that the cost is reduced.
    Type: Grant
    Filed: February 8, 1999
    Date of Patent: September 5, 2000
    Assignee: United Microelectronics Corp
    Inventors: Yih-Jau Chang, Chen-Chung Hsu
  • Patent number: 6111277
    Abstract: A semiconductor device such as a light emitting semiconductor device comprising a mask layer having opening areas and a selective growing layer comprising a semiconductor grown selectively by way of the mask layer, with each of the mask layer and the selective growing layer being disposed by two or more layers alternately. The semiconductor device is manufactured by a step of laminating on a substrate a mask layer having opening areas and a selective growing layer comprising a semiconductor grown selectively way of a mask layer, each by two or more layers alternately and a subsequent step of laminating semiconductor layers thereon. Threading dislocations in the underlying layer are interrupted by the first mask layer and the second mask layer and do not propagate to the semiconductor layer. The density of the threading dislocations is lowered over the entire surface and the layer thickness can be reduced.
    Type: Grant
    Filed: October 21, 1998
    Date of Patent: August 29, 2000
    Assignee: Sony Corporation
    Inventor: Masao Ikeda
  • Patent number: 6107127
    Abstract: To form a shallow well MOSFET, an epitaxial layer is subjected to a blanket implant of impurities, so as to form a very shallow well region that defines a PN junction with the epitaxial layer. A field oxide layer is selectively formed on a portion of the shallow well region, and a gate insulator layer is formed on the exposed portion of the shallow well region contiguous with the field insulator layer. A polycrystalline silicon spacer-gate layer is non-selectively deposited on the field insulator layer and the gate insulator layer, forming a multiple thickness implant mask. The resulting structure is subjected to one or more high energy impurity implants, to overdose and thereby convert a portion of the shallow well region to the conductivity of the epitaxial layer. This extends the PN junction up to the surface of the well region beneath the gate insulator layer, thereby defining the length of the channel between the side edge of the field oxide layer and the extended PN junction.
    Type: Grant
    Filed: September 2, 1998
    Date of Patent: August 22, 2000
    Inventor: Christopher B. Kocon
  • Patent number: 6100171
    Abstract: In one embodiment, the present invention relates to a method of removing fluorine from a gate conductor involving the steps of providing a semiconductor device containing a substrate, a gate insulator layer overlying a portion of the substrate, a gate conductor containing fluorine overlying the gate insulator layer, and a source and a drain region adjacent the gate insulator layer; and laser annealing the semiconductor device at an energy level sufficient to melt at least a portion of the gate conductor thereby inducing the removal of fluorine from the gate conductor. In another embodiment, the present invention relates to a method of making a transistor involving the steps of forming a gate conductor overlying a gate insulator layer, wherein the gate conductor and the gate insulator layer overlie a portion of a substrate, doping the substrate and gate conductor with BF.sub.2.sup.
    Type: Grant
    Filed: March 3, 1998
    Date of Patent: August 8, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Emi Ishida