Introduction Of Conductivity Modifying Dopant Into Semiconductive Material Patents (Class 438/510)
  • Patent number: 7595261
    Abstract: A method of manufacturing a semiconductor device, which has a gate electrode and a pair of diffusion layers formed in a semiconductor substrate on sides of the gate electrode, includes forming an insulating film and a gate electrode on a semiconductor substrate, obtaining a thickness of an affected layer formed in a surface of the semiconductor substrate, forming a pair of diffusion layers by injecting an impurity element into the semiconductor substrate in areas flanking the gate electrodes based on a predetermined injection parameter, performing activating heat treatment based on a predetermined heat treatment parameter, and a parameter deriving step provided between the obtaining step and the diffusion layer forming step, the parameter deriving step deriving the injection parameter or heat treatment parameter in response to the obtained thickness of the affected layer such that the diffusion layers are set to a predetermined sheet resistance.
    Type: Grant
    Filed: October 7, 2005
    Date of Patent: September 29, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Hikaru Kokura
  • Patent number: 7592270
    Abstract: Some example embodiments of the invention provide a method to improve the performance of MOS devices by increasing the stress in the channel region. An example embodiment for a NMOS transistor is to form a tensile stress layer over a NMOS transistor. A heavy ion implantation is performed into the stress layer and then an anneal is performed. This increases the amount of stress from the stress layer that the gate retains/memorizes thereby increasing device performance.
    Type: Grant
    Filed: November 15, 2007
    Date of Patent: September 22, 2009
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Lee Wee Teo, Elgin Quek
  • Patent number: 7591937
    Abstract: The invention relates to a method of fixing macro-objects to an electricity conducting- or semi-conducting surface by means of electrografting. The invention also relates to the electricity conducting- or semi-conducting-surfaces obtained using the aforementioned method and to the applications of same.
    Type: Grant
    Filed: March 19, 2003
    Date of Patent: September 22, 2009
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Christophe Bureau, Guy Deniau, José Gonzalez, Serge Palacin
  • Patent number: 7592242
    Abstract: A method and device for reducing a dopant diffusion rate in a doped semiconductor region is provided. The methods and devices include selecting a plurality of dopant elements. Selection of a plurality of dopant elements includes selecting a first dopant element with a first atomic radius larger than a host matrix atomic radius and selecting a second dopant element with a second atomic radius smaller than a host matrix atomic radius. The methods and devices further include selecting amounts of each dopant element of the plurality of dopant elements wherein amounts and atomic radii of each of the plurality of dopant elements complement each other to reduce a host matrix lattice strain. The methods and devices further include introducing the plurality of dopant elements to a selected region of the host matrix and annealing the selected region of the host matrix.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: September 22, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Paul A. Farrar, Jerome M. Eldridge
  • Publication number: 20090233418
    Abstract: Methods of forming a silicon carbide semiconductor device are disclosed. The methods include forming a semiconductor device at a first surface of a silicon carbide substrate having a first thickness, and mounting a carrier substrate to the first surface of the silicon carbide substrate. The carrier substrate provides mechanical support to the silicon carbide substrate. The methods further include thinning the silicon carbide substrate to a thickness less the first thickness, forming a metal layer on the thinned silicon carbide substrate opposite the first surface of the silicon carbide substrate, and locally annealing the metal layer to form an ohmic contact on the thinned silicon carbide substrate opposite the first surface of the silicon carbide substrate. The silicon carbide substrate is singulated to provide a singulated semiconductor device.
    Type: Application
    Filed: May 29, 2009
    Publication date: September 17, 2009
    Inventors: Anant Agarwal, Sei-Hyung Ryu, Matthew Donofrio
  • Patent number: 7589004
    Abstract: A method that combines alternate low/medium ion dose implantation with rapid thermal annealing at relatively low temperatures. At least one dopant is implanted in one of a single crystal and an epitaxial film of the wide band gap compound by a plurality of implantation cycles. The number of implantation cycles is sufficient to implant a predetermined concentration of the dopant in one of the single crystal and the epitaxial film. Each of the implantation cycles includes the steps of: implanting a portion of the predetermined concentration of the one dopant in one of the single crystal and the epitaxial film; annealing one of the single crystal and the epitaxial film and implanted portion at a predetermined temperature for a predetermined time to repair damage to one of the single crystal and the epitaxial film caused by implantation and activates the implanted dopant; and cooling the annealed single crystal and implanted portion to a temperature of less than about 100° C.
    Type: Grant
    Filed: May 23, 2006
    Date of Patent: September 15, 2009
    Assignee: Los Alamos National Security, LLC
    Inventors: Igor Usov, Paul N. Arendt
  • Publication number: 20090224227
    Abstract: A type-II InAs/GaSb superlattice photodiode for optimizing quantum efficiency without reducing the differential resistance area product at zero bias. The photodiode features a GaSb: Be buffer, a In/GaSb: Be superlattice, a p-type doped ? region, a InAs: Si/GaSb doped region, and a InAs: Si doped contact layer. The In/GaSb: Be superlattice and InAs: Si/GaSb doped region each having a thickness about two times greater than the thickness of the GaSb: Be buffer. The photodiode in one embodiment featuring a composition of InAs and GaSb with InSb forced interfaces, the composition suitable for being grown on GaSb wafers with a molecular beam epitaxy reactor. A method of optimizing quantum efficiency in a type-II InAs/GaSb superlattice photodiode having a 100% cutoff wavelength around 12 ?m is further provided herewith.
    Type: Application
    Filed: March 6, 2008
    Publication date: September 10, 2009
    Inventor: Manijeh Razeghi
  • Patent number: 7585763
    Abstract: A patterned anti-reflective coating may be used as a selective implant-blocking layer during fabrication of an integrated circuit transistor. In particular, the anti-reflective coating may be used as a gate sidewall spacer to block at least some dopants from an integrated circuit substrate beneath the gate sidewall spacer. Moreover, a single mask may be used when fabricating source and drain extension regions and source and drain regions of an integrated circuit transistor.
    Type: Grant
    Filed: November 7, 2005
    Date of Patent: September 8, 2009
    Assignees: Samsung Electronics Co., Ltd., Infineon Technologies AG
    Inventors: Sang Jine Park, Chong Kwang Chang, Seok-Gyu Lee, Lothar Doni
  • Patent number: 7585749
    Abstract: A method for making a structure which may have at least one layer on a supporting substrate. The method includes at least the steps for forming from the supporting substrate an intermediate structure which may have an amorphous layer, a first crystalline layer containing point defects and, a second crystalline layer located immediately underneath the amorphous layer and in the lower portion of the intermediate structure. The method may also include bonding a receiving substrate on the upper face of the intermediate structure and removing the layer of the intermediate structure in which point defects have formed so that amorphous layer forms the upper layer of the intermediate structure. A structure made by such a method may comprise at least one thin layer of an amorphous material on a supporting substrate. The structure may comprise a receiving substrate, a central crystalline layer and an amorphous layer, all of which may lack any EOR type point defect.
    Type: Grant
    Filed: August 14, 2006
    Date of Patent: September 8, 2009
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventor: Xavier Hebras
  • Patent number: 7582547
    Abstract: Devices and methods for junction formation in manufacturing a semiconductor device are disclosed. The devices have shallow junction depths far removed from end-of range defects. The method comprises forming an amorphous region in a crystalline semiconductor such as silicon down to a first depth, followed by implantation of a substitutional element such as carbon to a smaller depth than the first depth. The region is then doped with suitable dopants, e.g. phosphorus or boron, and the amorphous layer recrystallized by a thermal process.
    Type: Grant
    Filed: August 3, 2007
    Date of Patent: September 1, 2009
    Assignees: Interuniversitair Microelektronica Centrum vzw (IMEC), Koninklijke Philips Electronics
    Inventor: Bartlomiej Jan Pawlak
  • Publication number: 20090212280
    Abstract: A method of using a metal complex as an n-dopant for doping an organic semiconducting matrix material in order to alter the latter's electrical characteristics is provided. In order to provide n-doped organic semiconductors with matrix materials having a low reduction potential, while achieving high conductivities, the n-dopant is a neutral electron-rich metal complex with a neutral or charged transition metal atom as a central atom and having at least 16 valence electrons. The complex can be polynuclear and can possess at least one metal-metal bond. At least one ligand can form a ? complex with the central atom, which can be a bridge ligand, or it can contain at least one carbanion-carbon atom or a divalent atom. Methods for providing the novel n-dopants are provided.
    Type: Application
    Filed: March 3, 2005
    Publication date: August 27, 2009
    Inventors: Ansgar Werner, Olaf Kühl, Simon Gessler, Horst Hartmann, Andre Grüssing, Michael Limmert, Andrea Lux, Kentaro Harada
  • Patent number: 7575986
    Abstract: Defects and fixed charge in a gate dielectric near the gate dielectric-substrate interface are reduced by performing a gate dielectric relaxation anneal step prior to source-drain ion implantation, in which the wafer temperature is ramped gradually to near a melting temperature of the substrate equal to a peak post-ion implantation anneal peak temperature. The ramping rates are sufficiently gradual so that the gate dielectric is held above its reflow temperature for a significant duration.
    Type: Grant
    Filed: August 8, 2007
    Date of Patent: August 18, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Christopher Sean Olsen, Sunderraj Thirupapuliyur
  • Patent number: 7575987
    Abstract: A doping device is provided having a vacuum container defining a chamber therein. The container has a portion made of dielectric material and bears an impurity to be doped in a substrate provided in the chamber. Also provided is a plasma source for generating a plasma in the chamber by forming an electric field through the portion of the container, such that ion in the plasma impinges against the portion of the container, feeding the impurity out of the portion of the container into the chamber.
    Type: Grant
    Filed: October 25, 2006
    Date of Patent: August 18, 2009
    Assignee: Panasonic Corporation
    Inventors: Tomohiro Okumura, Ichiro Nakayama, Bunji Mizuno
  • Publication number: 20090200643
    Abstract: A method for producing a semiconductor by conducting superimposed doping of a plurality of dopants in a semiconductor substrate, which includes evaporating a (2×n) structure by a first dopant and forming its thin line structure on the substrate, then bringing the semiconductor substrate to a temperature capable of epitaxial growth, vapor depositing a second or third or subsequent dopants above the semiconductor substrate where the first dopant has been deposited, then epitaxially growing a semiconductor crystal layer over the semiconductor substrate, subsequently forming a superimposed doping layer composed of the first, second, or the third or subsequent dopants in the semiconductor substrate, and applying an annealing treatment to the superimposed doping layer at a high temperature, thereby activating the plurality of dopants electrically or optically.
    Type: Application
    Filed: August 27, 2007
    Publication date: August 13, 2009
    Inventors: Kazushi Miki, Shuhei Yagi, Kohichi Nittoh, Kunihiro Sakamoto
  • Publication number: 20090194840
    Abstract: A method of double patterning is disclosed. The method includes forming a first photosensitive layer; exposing the first photosensitive layer using a first reticle; developing the first photosensitive layer thereby forming a first image pattern including first elements; forming a second photosensitive layer; exposing the second photosensitive layer using the first reticle; and developing the second photosensitive layer thereby forming a second image pattern.
    Type: Application
    Filed: February 1, 2008
    Publication date: August 6, 2009
    Inventors: Christoph Noelscher, Yi-Ming Chiu, Yuan-Hsun Wu
  • Publication number: 20090195948
    Abstract: The invention relates to a method of making a starting substrate wafer for semiconductor engineering having electrical wafer through connections (140; 192). It comprises providing a wafer (110; 150) having a front side and a back side and having a base of low resistivity silicon and a layer of high resistivity material on the front side. On the wafer there are islands of low resistivity material in the layer of high resistivity material. The islands are in contact with the silicon base material. Trenches are etched from the back side of the wafer but not all the way through the wafer to provide insulating enclosures defining the wafer through connections (140; 192). The trenches are filled with insulating material. Then the front side of the wafer is grinded to expose the insulating material to create the wafer through connections.
    Type: Application
    Filed: January 31, 2007
    Publication date: August 6, 2009
    Inventors: Edvard Kalvesten, Tomas Bauer, Thorbjorn Ebefors
  • Publication number: 20090197400
    Abstract: A method of recycling monitor wafers. The method includes: (a) providing a semiconductor wafer which includes a dopant layer extending from a top surface of the wafer into the wafer a distance less than a thickness of the wafer, the dopant layer containing dopant species; after (a), (b) attaching an adhesive tape to a bottom surface of the wafer; after (b), (c) removing the dopant layer; and after (c), (d) removing the adhesive tape.
    Type: Application
    Filed: January 31, 2008
    Publication date: August 6, 2009
    Inventors: Steven Ross Codding, Joseph R. Greco, Timothy Charles Krywanczyk
  • Patent number: 7569463
    Abstract: The present invention generally describes one or more apparatuses and various methods that are used to perform an annealing process on desired regions of a substrate. In one embodiment, an amount of energy is delivered to the surface of the substrate to preferentially melt certain desired regions of the substrate to remove unwanted damage created from prior processing steps (e.g., crystal damage from implant processes), more evenly distribute dopants in various regions of the substrate, and/or activate various regions of the substrate. The preferential melting processes will allow more uniform distribution of the dopants in the melted region, due to the increased diffusion rate and solubility of the dopant atoms in the molten region of the substrate. The creation of a melted region thus allows: 1) the dopant atoms to redistribute more uniformly, 2) defects created in prior processing steps to be removed, and 3) regions that have hyper-abrupt dopant concentrations to be formed.
    Type: Grant
    Filed: July 25, 2006
    Date of Patent: August 4, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Ajit Balakrishna, Paul Carey, Dean Jennings, Abhilash Mayur, Stephen Moffatt, William Schaffer, Mark Yam
  • Publication number: 20090184370
    Abstract: A diode 10 comprises an SOI substrate in which are stacked a semiconductor substrate 20, an insulator film 30, and a semiconductor layer 40. A bottom semiconductor region 60, an intermediate semiconductor region 53, and a surface semiconductor region 54 are formed in the semiconductor layer 40. The bottom semiconductor region 60 includes a high concentration of n-type impurity. The intermediate semiconductor region 53 includes a low concentration of n-type impurity. The surface semiconductor region 54 includes p-type impurity.
    Type: Application
    Filed: November 17, 2006
    Publication date: July 23, 2009
    Inventors: Masato Taki, Masahiro Kawakami, Kiyoharu Hayakawa, Masayasu Ishiko
  • Publication number: 20090186471
    Abstract: A method of fabricating a semiconductor device for reducing a thermal burden on impurity regions of a peripheral circuit region includes preparing a substrate including a cell active region in a cell array region and peripheral active regions in a peripheral circuit region. A cell gate pattern and peripheral gate patterns may be formed on the cell active region and the peripheral active regions. First cell impurity regions may be formed in the cell active region. A first insulating layer and a sacrificial insulating layer may be formed to surround the cell gate pattern and the peripheral gate patterns. Cell conductive pads may be formed in the first insulating layer to electrically connect the first cell impurity regions. The sacrificial insulating layer may be removed adjacent to the peripheral gate patterns. First and second peripheral impurity regions may be sequentially formed in the peripheral active regions adjacent to the peripheral gate patterns.
    Type: Application
    Filed: January 20, 2009
    Publication date: July 23, 2009
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Kyoung-Ho Jung, Makoto Yoshida, Jae-Rok Kahng, Chul Lee, Joon-Seok Moon, Cheol-Kyu Lee, Sung-Il Cho
  • Patent number: 7563720
    Abstract: A wafer for use in a MEMS device having two doped layers surrounding an undoped layer of silicon is described. By providing two doped layers around an undoped core, the stress in the lattice structure of the silicon is reduced as compared to a solidly doped layer. Thus, problems associated with warping and bowing are reduced. The wafer may have a pattered oxide layer to pattern the deep reactive ion etch. A first deep reactive ion etch creates trenches in the layers. The walls of the trenches are doped with boron atoms. A second deep reactive ion etch removes the bottom walls of the trenches. The wafer is separated from the silicon substrate and bonded to at least one glass wafer.
    Type: Grant
    Filed: July 23, 2007
    Date of Patent: July 21, 2009
    Assignee: Honeywell International Inc.
    Inventor: James F. Detry
  • Patent number: 7556995
    Abstract: A MOS transistor made in monolithic form, vias contacting the gate and the source and drain regions of the transistor being formed on the other side of the channel region with respect to the gate.
    Type: Grant
    Filed: November 27, 2006
    Date of Patent: July 7, 2009
    Assignees: STMicroelectronics Crolles 2 SAS, Commissariat a l'Energie Atomique
    Inventors: Philippe Coronel, Claire Gallon, Claire Fenouillet-Beranger
  • Patent number: 7550343
    Abstract: In one embodiment, a semiconductor structure used in manufacturing a semiconductor device includes a substrate layer. The structure also includes first and second isolation regions formed by etching an oxide layer provided on the substrate layer to define an epitaxial growth surface of the substrate layer for epitaxial growth of a substrate material on the epitaxial growth surface between the first and second isolation regions. The structure also includes an active region that includes the epitaxially-grown substrate material between the first and second isolation regions, the active region formed by epitaxially growing the substrate material on the epitaxial growth surface of the substrate layer.
    Type: Grant
    Filed: July 10, 2006
    Date of Patent: June 23, 2009
    Assignee: Texas Instruments Incorporated
    Inventor: Christoph A. Wasshuber
  • Patent number: 7550323
    Abstract: A metal layer is deposited on the patterned semiconductor material layer containing a cathode semiconductor portion, a fuselink semiconductor portion, and an anode semiconductor portion. The metal layer may be patterned so that a middle portion of the fuselink semiconductor portion has a thin metal layer, which upon annealing produces a thinner metal semiconductor alloy portion than surrounding metal semiconductor alloy portion on the fuselink semiconductor portion. Alternatively, a middle portion of the metal semiconductor alloy having a uniform thickness throughout the fuselink may be lithographically patterned and etched to form a thin metal semiconductor alloy portion in the middle of the fuselink, while thick metal semiconductor alloy portions are formed on the end portions of the fuselink. The resulting inventive electrical fuse has interfaces at which a thinner metal semiconductor alloy abuts a thicker metal semiconductor alloy in the fuselink to enhance the divergence of electrical current.
    Type: Grant
    Filed: August 8, 2007
    Date of Patent: June 23, 2009
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, William K. Henson, Deok-kee Kim, Chandrasekharan Kothandaraman
  • Patent number: 7550358
    Abstract: A method to create piezoresistive sensing elements and electrostatic actuator elements on trench sidewalls is disclosed. P-type doped regions are formed in the upper surface of an n-type substrate. A trench is formed in the substrate (e.g. by DRIE process) intersecting with the doped regions and defining a portion of the substrate which is movable in the plane of the substrate relative to the rest of the substrate. Then diffusion of P-type dopant into the trench side-walls creates piezoresistive elements and electrode elements for electrostatic actuation. Owing to the intersection of two doped regions, there are good electrical paths between the electrical elements on the trench side-walls and the previously P-type doped portions on the wafer surface. The trench intersects with insulating elements, so that insulating elements mutually insulate adjacent electrical elements. P-n junctions between the electrical elements and the substrate insulate the electrical elements from the substrate.
    Type: Grant
    Filed: June 11, 2007
    Date of Patent: June 23, 2009
    Assignee: Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences
    Inventors: Xinxin Li, Heng Yang, Yuelin Wang, Songlin Feng
  • Publication number: 20090142910
    Abstract: A manufacturing method of a multi-level non-volatile memory includes following steps. First, a tunneling dielectric layer and a charge storage layer are sequentially formed on the substrate. At least two stacked layers are formed on the charge storage layer. Every two stacked layers include an inter-gate dielectric layer, a control gate, and a cap layer in sequence. Next, the charge storage layer between the two stacked layers is removed to form a first trench. After spacers are formed at the sidewalls of the two stacked layers and of the first trench, the charge storage layer outside the two stacked layers is removed. Thereafter, a dielectric layer is formed on the substrate. An assist gate is formed between the two stacked layers and a select gate is respectively formed on the sidewalls outside the two stacked layers. A doped region is then formed in the substrate outside the two stacked layers.
    Type: Application
    Filed: February 5, 2009
    Publication date: June 4, 2009
    Applicant: POWERCHIP SEMICONDUCTOR CORP.
    Inventors: Chih-Wei Hung, Chih-Chen Cho
  • Publication number: 20090124053
    Abstract: Methods of fabricating nanowire structures and nanodevices are provided. The methods involve photolithographically depositing a nucleation center on a crystalline surface of a substrate, generating a nanoscale seed from the nucleation center, and epitaxially growing a nanowire across at least a portion of the crystalline surface starting at a nucleation site where the nanoscale seed is located.
    Type: Application
    Filed: October 8, 2008
    Publication date: May 14, 2009
    Inventor: Babak NIKOOBAKHT
  • Patent number: 7531436
    Abstract: The invention relates to a method of forming a shallow junction. The method (100) comprises forming source/drain extension regions with a non-amorphizing tail implant (105) which is annealed conventionally (spike/RTP) and amorphizing implant which is re-grown epitaxially (SPER) (110). The non-amorphizing tail implant is generally annealed (106) before a doped amorphous layer for SPE is formed (107). SPE provides a high active dopant concentration in a shallow layer. The non-amorphizing tail implant (105) expands the source/drain extension region beyond the range dictated by the SPE-formed layer and keeps the depletion region of the P-N junction away from where end-of-range defects form during the SPE process. Thus, the SPE-formed layer primarily determines the conductivity of the junction while the tail implant determines the location of the depletion region. End-of-range defects form, but are not in a position to cause significant reverse bias leakage.
    Type: Grant
    Filed: February 14, 2005
    Date of Patent: May 12, 2009
    Assignee: Texas Instruments Incorporated
    Inventor: Puneet Kohli
  • Patent number: 7528386
    Abstract: A system for non-contact cleaning of particulate contamination of surfaces includes one or more sources that create a charge imbalance between a surface and particles that contaminate the surface, and a power supply that creates a pulsed electrical bias on the surface. This imbalance produces an electrostatic force that propels the particles off the surface. The cleaning process can be associated, for example, with microelectronic lithography and manufacturing.
    Type: Grant
    Filed: December 5, 2005
    Date of Patent: May 5, 2009
    Assignee: Board of Trustees of University of Illinois
    Inventors: David N. Ruzic, Brian E. Jurczyk, Darren Alman, Martin J. Neumann, Huatan Qiu
  • Patent number: 7521342
    Abstract: A semiconductor structure with high-voltage sustaining capability. A semiconductor structure with high-voltage sustaining capability includes a first well region of a first conductivity type. A pair of second well regions of a second conductivity type opposite to the first conductivity type are respectively disposed adjacent to the first well region and an anti-punch through region of the first conductivity type is disposed in at least the lower portion of the first well region to increase the doping concentration therein. Due to the ion supplementation of the anti-punch through region, the size of a semiconductor structure can be further reduced without affecting the HV sustaining capability and undesired effects such as punch-through effects can be prevented.
    Type: Grant
    Filed: September 6, 2007
    Date of Patent: April 21, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chen-Bau Wu, Fang-Cheng Lui, Shun-Liang Hsu
  • Patent number: 7518124
    Abstract: Monotomic dopant ions for ion implantation are supplied from vapour of a species containing plural atoms of the desired dopant. The vapour is fed to a plasma chamber and a plasma produced in the chamber with sufficient energy density to disassociate the vapour species to produce monatomic dopant ions in the plasma for implantation.
    Type: Grant
    Filed: September 28, 2006
    Date of Patent: April 14, 2009
    Assignee: Applied Materials, Inc.
    Inventor: Richard David Goldberg
  • Patent number: 7517777
    Abstract: The method of manufacturing a semiconductor device includes forming a p-type anode layer and an anode electrode on one major surface of an n-type semiconductor substrate, irradiating an electron beam to the semiconductor substrate to introduce crystal defects into the semiconductor substrate, grinding the other major surface of semiconductor substrate to reduce the thickness the semiconductor substrate, implanting phosphorus ions from the exposed surface of semiconductor substrate, and irradiating pulsed YAG laser beams by the double pulse technique to the exposed surface, from which the phosphorus ions have been implanted, to activate the implanted phosphorus atoms and to recover the region extending from the exposed surface irradiated with the YAG laser beams to the depth corresponding to 5 to 30% of the total wafer thickness from the defective state caused by the crystal defects introduced therein.
    Type: Grant
    Filed: August 14, 2006
    Date of Patent: April 14, 2009
    Assignee: Fuji Electric Device Technology Co., Ltd.
    Inventors: Michio Nemoto, Mitsuaki Kirisawa, Haruo Nakazawa
  • Publication number: 20090084440
    Abstract: A semiconductor photovoltaic device comprises a semiconductor substrate having a first surface and a second surface, the first surface and the second surface being opposed to each other, a plurality of trenches extending into the semiconductor substrate from the first surface, the first surface being a substantially planar surface, a dopant region in the semiconductor substrate near the first surface and the plurality of trenches, a first conductive layer over the semiconductor substrate, and a second conductive layer on the second surface of the semiconductor substrate.
    Type: Application
    Filed: October 1, 2007
    Publication date: April 2, 2009
    Applicant: INTEGRATED DIGITAL TECHNOLOGIES, INC.
    Inventors: Brite Jui-Hsien WANG, Naejye HWANG, Zingway PEI
  • Publication number: 20090087968
    Abstract: A method for fabricating a fine pattern in a semiconductor device includes forming a first photoresist over a substrate where an etch target layer is formed, doping at least one impurity selected from group III elements and group V elements, of the periodic table, into the first photoresist, forming a photoresist pattern over the first photoresist, performing a dry etching process using the photoresist pattern to expose the first photoresist, etching the first photoresist by an oxygen-based dry etching to form a first photoresist pattern where a doped region is oxidized, and etching the etch target layer using the first photoresist pattern as an etch barrier.
    Type: Application
    Filed: September 25, 2008
    Publication date: April 2, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventor: Jin-Ki JUNG
  • Publication number: 20090080473
    Abstract: A semiconductor saturable absorber and the fabrication method thereof are provided. The semiconductor saturable absorber includes a Fe-doped InP substrate, a periodic unit comprising an AlGaInAs QW formed on the Fe-doped InP substrate and an InAlAs barrier layer formed on one side of the AlGaInAs QW, and another InAlAs barrier layer formed on the other side of the AlGaInAs QW. Each of the InAlAs barrier layers has a width being a half-wavelength of a light emitted by the AlGaInAs QW.
    Type: Application
    Filed: January 9, 2008
    Publication date: March 26, 2009
    Inventors: Kai-Feng HUANG, Yung-Fu Chen
  • Patent number: 7504326
    Abstract: A method and system for integrated circuit (IC) processing combines an ion implantation tool and a laser anneal tool in a single unit with a shared precision X-Y scanner. A semiconductor wafer is loaded onto a the X-Y table of the scanner. Data defining the desired ion implantation is used to first customize circuit areas on the semiconductor wafer by gating ON and OFF the ion beam while semiconductor wafer is scanned. Any inadvertent ion beam interruptions are noted by storing the locations of the interruptions. The wafer is then reprocessed to correct faults caused by the interruptions. The laser anneal tool positions the laser beam over the semiconductor wafer it is then scanned while gating the laser beam ON and OFF to custom anneal the wafer devices. Again, any inadvertent laser beam interruptions are detected and the locations of the interruptions are stored for reprocessing to correct faults.
    Type: Grant
    Filed: May 30, 2006
    Date of Patent: March 17, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: George Jonathan Kluth, Douglas James Bonser
  • Patent number: 7494904
    Abstract: Methods and apparatus are provided for igniting, modulating, and sustaining a plasma for various doping processes. In one embodiment, a substrate (250) can be doped by forming a plasma (610) in a cavity (285) by subjecting a gas to an amount of electromagnetic radiation in the presence of a plasma catalyst (240) and adding at least one dopant material to the plasma. The material is then allowed to penetrate into the substrate. Various active and passive catalysts are provided.
    Type: Grant
    Filed: May 7, 2003
    Date of Patent: February 24, 2009
    Assignee: BTU International, Inc.
    Inventors: Satyendra Kumar, Devendra Kumar
  • Patent number: 7494905
    Abstract: The present invention provides, for use in a semiconductor manufacturing process, a method (100) of preparing an ion-implantation source material. The method includes providing (110) a deliquescent ion implantation source material and mixing (110) the deliquescent ion implantation source material with an organic liquid to form a paste.
    Type: Grant
    Filed: August 17, 2004
    Date of Patent: February 24, 2009
    Assignee: Texas Instruments Incorporated
    Inventor: Amitabh Jain
  • Publication number: 20090045464
    Abstract: An ESD device includes a low doped well connected to a first contact and a diffusion area connected to a second contact. A substrate between the low doped well and the diffusion area has a dopant polarity that is opposite a dopant polarity of the low doped well and the diffusion area. A distance between the low doped well and the diffusion area determines a triggering voltage of the ESD device. A depletion region is formed between the low doped well and the substrate when a reverse bias voltage is applied to the ESD device. A current discharging path is formed between the first contact and the second contact when the depletion region comes in to contact with the diffusion area. The substrate is biased by a connection to the second contact. Alternatively, an additional diffusion area with the same dopant polarity, connected to a third contact, biases the substrate.
    Type: Application
    Filed: October 10, 2008
    Publication date: February 19, 2009
    Applicant: Broadcom Corporation
    Inventor: Agnes Neves Woo
  • Patent number: 7491586
    Abstract: A method of fabricating a thyristor-based memory may include forming different opposite conductivity-type regions in silicon for defining a thyristor and an access device in series relationship. An activation anneal may activate dopants previously implanted for the different regions. A damaging implant of germanium or xenon or argon may be directed into select regions of the silicon including at least one p-n junction region for the access device and the thyristor. A re-crystallization anneal may then be performed to re-crystallize at least some of the damaged lattice structure resulting from the damaging implant. The re-crystallization anneal may use a temperature less than that of the previous activation anneal.
    Type: Grant
    Filed: June 22, 2005
    Date of Patent: February 17, 2009
    Assignee: T-RAM Semiconductor, Inc.
    Inventors: Andrew E Horch, Hyun-Jin Cho, Farid Nemati, Scott Robins, Rajesh N. Gupta, Kevin J. Yang
  • Patent number: 7491630
    Abstract: A semiconductor process and apparatus uses a predetermined sequence of patterning and etching steps to etch an intrinsic polysilicon layer (26) formed over a substrate (11), thereby forming etched gates (62, 64) having vertical sidewall profiles (61, 63). While a blanket nitrogen implant (46) of the intrinsic polysilicon layer (26) may occur prior to gate etch, more idealized vertical gate sidewall profiles (61, 63) are obtained by fully doping the gates (80, 100) during the source/drain implantation steps (71, 77, 91, 97) and after the gate etch.
    Type: Grant
    Filed: March 15, 2006
    Date of Patent: February 17, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Mehul D. Shroff, Paul A. Grudowski, Mark D. Hall, Tab A. Stephens
  • Patent number: 7491629
    Abstract: A method for producing an n-doped field stop zone in a semiconductor body. The method includes carrying out a diffusion process for the indiffusion of sulfur, hydrogen or selenium proceeding from one side into the semiconductor body in order to produce a first n-doped semiconductor zone. A second n-doped semiconductor zone is produced in the first semiconductor zone, which is doped more highly than the first semiconductor zone. Additionally, a semiconductor component having a field stop zone is disclosed.
    Type: Grant
    Filed: August 11, 2005
    Date of Patent: February 17, 2009
    Assignee: Infineon Technologies Austria AG
    Inventor: Hans-Joachim Schulze
  • Patent number: 7488652
    Abstract: After forming a field insulating film 12 on a substrate, sacrificing or gate oxidation films are formed as oxidation films 14a and 14b. An ion implantation layer 18 is formed by one or plurality of implantation process of argon (or fluoride) ion in an element hole 12a using a resist layer 16 as a mask via the oxidation film 14a. When the oxidation films 14a and 14b are used as sacrificing oxidation films, gate oxidation films are formed in the element holes 12a and 12b after removing the resist film 16 and the oxidation films 14a and 14b. When the oxidation films 14a and 14b are used as gate oxidation films, the oxidation films are once thinned by etching and then thickened after removing the resist layer 16. The gate oxidation film 14a is thicker than the gate oxidation film 14b by forming the ion implantation layer 18.
    Type: Grant
    Filed: June 9, 2005
    Date of Patent: February 10, 2009
    Assignee: Yamaha Corporation
    Inventor: Syuusei Takami
  • Publication number: 20090032851
    Abstract: In a method for producing a semiconductor body, impurities which act as recombination centers in the semiconductor body and form a recombination zone are introduced into the semiconductor body during the process of producing the semiconductor body. In a semiconductor component, comprising a semiconductor body having a front surface and an opposite rear surface, and also a recombination zone formed by impurities between the front and rear surfaces, wherein the impurities act as recombination centres, the surface state density at the front and rear surfaces of the semiconductor body is just as high as the surface state density at a front and rear surface of an identical semiconductor body without a recombination zone.
    Type: Application
    Filed: July 10, 2008
    Publication date: February 5, 2009
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Frank Pfirsch, Hans-Joachim Schulze
  • Publication number: 20090026580
    Abstract: A semiconductor device and its manufacturing method are disclosed. The semiconductor device includes at least one integrated circuit on a semiconductor substrate having an active side and a back side. The lattice constant of the semiconductor material is increased. The manufacturing method includes stretching the semiconductor lattice in near-surface areas of the back side of the semiconductor substrate.
    Type: Application
    Filed: July 23, 2007
    Publication date: January 29, 2009
    Inventor: Karl Malachowski
  • Patent number: 7482211
    Abstract: A method for forming a semiconductor device is provided. The method includes providing a semiconductor substrate, forming a gate dielectric over the semiconductor substrate, forming a gate electrode on the gate dielectric, forming a stressor in the semiconductor substrate adjacent an edge of the gate electrode, and implanting an impurity after the step of forming the stressor. The impurity is preferably selected from the group consisting essentially of group IV elements, inert elements, and combinations thereof.
    Type: Grant
    Filed: September 19, 2006
    Date of Patent: January 27, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun-Feng Nieh, Chien-Hao Chen, Keh-Chiang Ku, Tze-Liang Lee, Shih-Chang Chen
  • Patent number: 7482255
    Abstract: A method of ion implantation comprises the steps of: providing a semiconductor substrate; performing a pre-amorphisation implant in the semiconductor substrate in a direction of implant at an angle in the range of 20-60° to a normal to a surface of the semiconductor substrate, and performing an implant of a dopant in the semiconductor substrate to provide a shallow junction. In a feature of the invention, the method further comprises performing an implant of a defect trapping element in the semiconductor substrate and the pre-amorphisation implant step is performed at a first implant energy and the implant of a defect trapping element is performed at a second implant energy, the ratio of the first implant energy to the second implant energy being in the range of 10-40%.
    Type: Grant
    Filed: December 14, 2005
    Date of Patent: January 27, 2009
    Inventors: Houda Graoui, Majeed Ali Foad, Amir Al-Bayati
  • Publication number: 20080314288
    Abstract: A doping mixture for coating semiconductor substrates which are then subjected to a high temperature treatment to form a doped layer includes at least one p- or n-dopant, water and a mixture of two or more surfactants. At least one of the surfactants is nonionic. Also provided are a method for producing such a doping mixture and the use thereof.
    Type: Application
    Filed: May 31, 2006
    Publication date: December 25, 2008
    Applicants: Centrotherm Photovoltaics AG, Fraunhofer-Gesellschaft zur Forderung der angewandten Forschung e.V.
    Inventors: Daniel Biro, Catherine Voyer, Harald Wanka, Jorg Koriath
  • Patent number: 7468313
    Abstract: A semiconductor fabrication process preferably used with a semiconductor on insulator (SOI) wafer. The wafer's active layer is biaxially strained and has first and second regions. The second region is amorphized to alter its strain component(s). The wafer is annealed to re-crystallize the amorphous semiconductor. First and second types of transistors are fabricated in the first region and the second region respectively. Third and possibly fourth regions of the active layer may be processed to alter their strain characteristics. A sacrificial strain structure may be formed overlying the third region. The strain structure may be a compressive. When annealing the wafer with the strain structure in place, its strain characteristics may be mirrored in the third active layer region. The fourth active layer region may be amorphized in stripes that run parallel to a width direction of the transistor strain to produce uniaxial stress in the width direction.
    Type: Grant
    Filed: May 30, 2006
    Date of Patent: December 23, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Voon-Yew Thean, Victor H. Vartanian, Brian A. Winstead
  • Publication number: 20080303061
    Abstract: A process for the manufacture of a substrate having a top layer of a first material and an underlying layer of a second material whose lattice parameter is different from that of the first material. The process includes the steps of conducting an amorphization of the top layer to create an amorphous region in the top layer lying between an exposed surface and an amorphization interface, with that portion of the top layer below the interface being shielded from the amorphization and remaining as a crystalline structure; recrystallizing the amorphous region while also creating a network of defects at the interface, wherein the network forms a boundary for dislocations from the crystalline structure of the top layer, and containing the dislocations in the portion of the top layer that is located below the interface. Also, the substrates obtained by the method.
    Type: Application
    Filed: March 29, 2006
    Publication date: December 11, 2008
    Inventors: Konstantin Bourdelle, Carlos Mazure