Field-effect Transistor (epo) Patents (Class 257/E29.242)

  • Patent number: 8390037
    Abstract: A gas sensor having at least one gas-sensitive electrically conductive layer having a surface region which can be brought into contact with a target gas and in which the work function depends on the concentration of the target gas in contact therewith. At least one electrical potential sensor is capacitively coupled to the surface region via an air gap. The surface region is structured by at least one recess in which a flat material element which is connected to the gas-sensitive layer in an electrically conductive manner is arranged, the material of the material element differing from that of the gas-sensitive layer and comprising a metal and/or a metal-containing chemical compound.
    Type: Grant
    Filed: January 3, 2011
    Date of Patent: March 5, 2013
    Assignee: Micronas GmbH
    Inventor: Christoph Senft
  • Patent number: 8390046
    Abstract: A semiconductor device of the present invention has a semiconductor substrate having a transistor formed thereon; a multi-layered interconnect formed on the semiconductor substrate, and having a plurality of interconnect layers, respectively composed of an interconnect and an insulating film, stacked therein; and a capacitance element having a lower electrode (lower electrode film), a capacitor insulating film, and an upper electrode (upper electrode film), all of which being embedded in the multi-layered interconnect, so as to compose a memory element, and further includes at least one layer of damascene-structured copper interconnect (second-layer interconnect) formed between the capacitance element and the transistor; the upper surface of one of the interconnects (second-layer interconnect) and the lower surface of the capacitance element are aligned nearly in the same plane; and at least one layer of copper interconnect (plate line interconnect) is formed over the capacitance element.
    Type: Grant
    Filed: November 16, 2010
    Date of Patent: March 5, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Jun Kawahara, Yoshihiro Hayashi, Ippei Kume
  • Patent number: 8390001
    Abstract: A normally-off type silicon carbide junction FET has a problem that the gate thereof is not easy to use due to inferiority in the characteristics of it. This problem occurs because in order to achieve normally-off, the gate voltage should be off at 0V and at the same time, the ON-state gate voltage should be suppressed to about 2.5V to prevent the passage of an electric current through a pn junction between gate and source. Accordingly, a range from the threshold voltage to the ON-state gate voltage is only from about 1 V to 2V and it is difficult to control the gate voltage. Provided in the present invention is an electronic circuit device obtained by coupling, to a gate of a normally-off type silicon carbide junction FET, an element having a capacitance equal to or a little smaller than the gate capacitance of the junction FET.
    Type: Grant
    Filed: March 8, 2011
    Date of Patent: March 5, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Haruka Shimizu, Natsuki Yokoyama
  • Publication number: 20130049068
    Abstract: The present disclosure provides a FinFET device. The FinFET device comprises a semiconductor substrate of a first semiconductor material; a fin structure of the first semiconductor material overlying the semiconductor substrate, wherein the fin structure has a top surface of a first crystal plane orientation; a diamond-like shape structure of a second semiconductor material disposed over the top surface of the fin structure, wherein the diamond-like shape structure has at least one surface of a second crystal plane orientation; a gate structure disposed over the diamond-like shape structure, wherein the gate structure separates a source region and a drain region; and a channel region defined in the diamond-like shape structure between the source and drain regions.
    Type: Application
    Filed: August 30, 2011
    Publication date: February 28, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: You-Ru Lin, Cheng-Hsien Wu, Chih-Hsin Ko, Clement Hsingjen Wann
  • Publication number: 20130043475
    Abstract: A transistor may include a light-blocking layer that blocks light incident on a channel layer. The light-blocking layer may include a carbon-based material. The carbon-based material may include graphene oxide, graphite oxide, graphene or carbon nanotube (CNT). The light-blocking layer may be between a gate and at least one of the channel layer, a source and a drain.
    Type: Application
    Filed: June 6, 2012
    Publication date: February 21, 2013
    Applicants: SAMSUNG MOBILE DISPLAY CO., LTD., SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hyun-suk Kim, Sang-yoon Lee, Myung-kwan Ryu, Tae-sang Kim, Jong-baek Seon, Kyoung-seok Son, Won-mook Choi, Joon-seok Park, Mi-jeong Song
  • Publication number: 20130043511
    Abstract: An integrated circuit includes a gate electrode disposed over a substrate. A source/drain (S/D) region is disposed adjacent to the gate electrode. The S/D region includes a diffusion barrier structure disposed in a recess of the substrate. The diffusion barrier structure includes a first portion and a second portion. The first portion is adjacent to the gate electrode. The second portion is distant from the gate electrode. An N-type doped silicon-containing structure is disposed over the diffusion barrier structure. The first portion of the diffusion barrier structure is configured to partially prevent N-type dopants of the N-type doped silicon-containing structure from diffusing into the substrate. The second portion of the diffusion barrier structure is configured to substantially completely prevent N-type dopants of the N-type doped silicon-containing structure from diffusing into the substrate.
    Type: Application
    Filed: August 16, 2011
    Publication date: February 21, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chun Hsiung TSAI, Su-Hao LIU, Chien-Tai CHAN, King-Yuen WONG, Chien-Chang SU
  • Publication number: 20130043506
    Abstract: A method of forming a Fin-FET is provided. A substrate is provided, then a mask layer is formed thereabove. A first trench is formed in the substrate and the mask layer. A semiconductor layer is formed in the first trench. Next, the mask layer is removed such that the semi-conductive layer becomes a fin structure embedded in the substrate and protruded above the substrate. Finally, a gate layer is formed on the fin structure.
    Type: Application
    Filed: August 17, 2011
    Publication date: February 21, 2013
    Inventors: Chen-Hua Tsai, Rai-Min Huang, Sheng-Huei Dai, Chun-Hsien Lin
  • Publication number: 20130043533
    Abstract: A semiconductor device includes an active region having a channel region and at least a wing region adjoining the channel region under the gate dielectric layer. The at least one wing region may be two symmetrical wing regions across the channel region.
    Type: Application
    Filed: August 19, 2011
    Publication date: February 21, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chen-Liang CHU, Fei-Yuh CHEN, Yi-Sheng CHEN, Shih-Kuang HSIAO, Chun Lin TSAI, Kong-Beng THEI
  • Patent number: 8378421
    Abstract: A thin film transistor substrate. The thin film transistor substrate includes a substrate, an adhesive layer on the substrate, and a semiconductor layer having a first doped region, a second doped region and a channel region on the adhesive layer. The thin film transistor substrate further includes a first dielectric layer on the semiconductor layer, a gate electrode overlapping the channel region, a second dielectric layer on the first dielectric layer and the gate electrode, a source electrode disposed on the second insulating layer, and a drain electrode spaced apart from the source electrode on the source electrode. The channel region is disposed between the first doped region and the second doped region, and has a transmittance higher than those of the first doped region and the second doped region.
    Type: Grant
    Filed: January 13, 2012
    Date of Patent: February 19, 2013
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Jae Bon Koo, In-Kyu You, Seongdeok Ahn, Kyoung Ik Cho
  • Patent number: 8377759
    Abstract: A method for fabricating FET devices is disclosed. The method includes forming continuous fins of a semiconductor material and fabricating gate structures overlaying the continuous fins. After the fabrication of the gate structures, the method uses epitaxial deposition to merge the continuous fins to one another. Next, the continuous fins are cut into segments. The fabricated FET devices are characterized as being non-planar devices. A placement of non-planar FET devices is also disclosed, which includes non-planar devices that have electrodes, and the electrodes contain fins and an epitaxial layer which merges the fins together. The non-planar devices are so placed that their gate structures are in a parallel configuration separated from one another by a first distance, and the fins of differing non-planar devices line up in essentially straight lines.
    Type: Grant
    Filed: August 17, 2010
    Date of Patent: February 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Bruce B. Doris, Ali Khakifirooz, Pranita Kulkarni
  • Publication number: 20130037823
    Abstract: In one embodiment, a semiconductor device includes a semiconductor substrate, a gate electrode provided on the semiconductor substrate via an insulating layer, and a gate insulator provided on a side surface of the gate electrode. The device includes a stacked layer including a lower main terminal layer of a first conductivity type, an intermediate layer, and an upper main terminal layer of a second conductivity type which are successively stacked on the semiconductor substrate, the stacked layer being provided on the side surface of the gate electrode via the gate insulator. The upper or lower main terminal layer is provided on the side surface of the gate electrode via the gate insulator and the semiconductor layer.
    Type: Application
    Filed: February 23, 2012
    Publication date: February 14, 2013
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Takahisa Kanemura, Masaki Kondo
  • Publication number: 20130037867
    Abstract: According to one embodiment, a semiconductor device includes a substrate, a gate electrode, a channel region, a source region and a drain region. The source region forms a first boundary with the channel region, and the drain region forms a second boundary with the channel region. A side of the gate electrode at the side of the source region has a plurality of convex portions extending along a gate length direction, a side of the gate electrode at the side of the drain region is parallel to a gate width direction, the first boundary and the second boundary have shapes corresponding to the side of the gate electrode at the side of the source region and the side of the gate electrode at the side of the drain region, and the length of the first boundary is more than the length of the second boundary.
    Type: Application
    Filed: February 23, 2012
    Publication date: February 14, 2013
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Kanna ADACHI
  • Publication number: 20130032854
    Abstract: The rectifier in this invention is connected in series with two field effect transistor, comprises: the source S1 of first N-channel FET F1 and the source S2 of second N-channel FET F2 are directly connected together, the gate G1 of first N-channel FET F1 and the gate G2 of second N-channel FET F2 are connected together form a control terminal GA, the drain D1 of first N-channel FET F1 form a input terminal D1, the drain D2 of second N-channel FET F2 form a output terminal D2, the body diode DA of first N-channel FET F1 and the body diode DB of second N-channel FET F2, are back-to-back series connected together, the right side equivalent circuit F are first N-channel FET F1 and second N-channel FET F2 equivalent circuit, form a rectifier F of the present invention.
    Type: Application
    Filed: August 1, 2011
    Publication date: February 7, 2013
    Inventor: Chao-Cheng LUI
  • Publication number: 20130033310
    Abstract: Carbon nanotubes (CNTs) and carbon nanotube field effect transistors (CNFETs) have demonstrated extraordinary properties and are widely accepted as the building blocks of next generation VLSI circuits. A CNT crossbar based nano-architecture, includes layers of orthogonal carbon nanotubes with electrically bistable and charge holding molecules at each crossing, forming a dense array of reconfigurable double gate carbon nanotube field effect transistors (RDG-CNFETs) and programmable interconnects, which is addressed via a voltage controlled nanotube addressing circuits on the boundaries.
    Type: Application
    Filed: January 14, 2011
    Publication date: February 7, 2013
    Inventor: Bao Liu
  • Patent number: 8367499
    Abstract: A semiconductor device and a method for manufacturing the same are provided. The method includes forming a cell structure where a storage node contact is coupled to a silicon layer formed over a gate, thereby simplifying the manufacturing process of the device. The semiconductor device includes a bit line buried in a semiconductor substrate; a plurality of gates disposed over the semiconductor substrate buried with the bit line; a first plug disposed in a lower portion between the gates and coupled to the bit line; a silicon layer disposed on the upper portion and sidewalls of the gate; and a second plug coupled to the silicon layer disposed over the gate.
    Type: Grant
    Filed: December 15, 2010
    Date of Patent: February 5, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hyung Jin Park
  • Publication number: 20130026570
    Abstract: After formation of a semiconductor device on a semiconductor-on-insulator (SOI) layer, a first dielectric layer is formed over a recessed top surface of a shallow trench isolation structure. A second dielectric layer that can be etched selective to the first dielectric layer is deposited over the first dielectric layer. A contact via hole for a device component located in or on a top semiconductor layer is formed by an etch. During the etch, the second dielectric layer is removed selective to the first dielectric layer, thereby limiting overetch into the first dielectric layer. Due to the etch selectivity, a sufficient amount of the first dielectric layer is present between the bottom of the contact via hole and a bottom semiconductor layer, thus providing electrical isolation for the ETSOI device from the bottom semiconductor layer.
    Type: Application
    Filed: July 27, 2011
    Publication date: January 31, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Su Chen Fan, Balasubramanian S. Haran, David V. Horak
  • Publication number: 20130026543
    Abstract: A semiconductor device includes a plurality of active areas disposed on a semiconductor substrate. A manufacturing method of the semiconductor device includes performing a first annealing process on the semiconductor substrate by emitting a first laser alone a first scanning direction, and performing a second annealing process on the semiconductor substrate by emitting a second laser alone a second scanning direction. The first scanning direction and the second scanning direction have an incident angle.
    Type: Application
    Filed: July 26, 2011
    Publication date: January 31, 2013
    Inventors: Chan-Lon Yang, Tzu-Feng Kuo, Hsin-Huei Wu, Ching-I Li, Shu-Yen Chan
  • Publication number: 20130026539
    Abstract: A finFET is formed having a fin with a source region, a drain region, and a channel region between the source and drain regions. The fin is etched on a semiconductor wafer. A gate stack is formed having an insulating layer in direct contact with the channel region and a conductive gate material in direct contact with the insulating layer. The source and drain regions are etched leaving the channel region of the fin. Epitaxial semiconductor is grown on the sides of the channel region that were adjacent the source and drain regions to form a source epitaxy region and a drain epitaxy region. The source and drain epitaxy regions are doped in-situ while growing the epitaxial semiconductor.
    Type: Application
    Filed: July 27, 2011
    Publication date: January 31, 2013
    Applicant: ADVANCED ION BEAM TECHNOLOGY, INC.
    Inventors: Daniel TANG, Tzu-Shih Yen
  • Publication number: 20130020656
    Abstract: Semiconductor devices are formed with a silicide interface between the work function layer and polycrystalline silicon. Embodiments include forming a high-k/metal gate stack by: forming a high-k dielectric layer on a substrate, forming a work function metal layer on the high-k dielectric layer, forming a silicide on the work function metal layer, and forming a poly Si layer on the silicide. Embodiments include forming the silicide by: forming a reactive metal layer in situ on the work function layer, forming an a-Si layer in situ on the entire upper surface of the reactive metal layer, and annealing concurrently with forming the poly Si Layer.
    Type: Application
    Filed: July 18, 2011
    Publication date: January 24, 2013
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Frank Jakubowski, Peter Baars, Till Schloesser
  • Publication number: 20130020640
    Abstract: A structure making up a part of a semiconductor device, such as a fin structure of a finFET device, is formed on and electrically isolated from a semiconductor substrate. The structure is comprised of the semiconductor substrate material and is electrically isolated from a remaining portion of the semiconductor substrate by an insulating barrier. The insulating barrier is formed by an isotropic oxidation process that oxidizes portions of the semiconductor substrate that are not protected by an oxidation barrier.
    Type: Application
    Filed: July 18, 2011
    Publication date: January 24, 2013
    Inventors: John Y. CHEN, Boon-Khim Liew
  • Publication number: 20130020632
    Abstract: A lateral transistor includes a gate formed over a gate oxide and a field plate formed over a thick gate oxide. The field plate is electrically connected to a source. The field plate is configured to capacitively deplete a drift region when the lateral transistor is in the OFF state.
    Type: Application
    Filed: July 18, 2011
    Publication date: January 24, 2013
    Inventor: Donald R. Disney
  • Publication number: 20130020645
    Abstract: An electrostatic discharge protection device, methods of fabricating an electrostatic discharge protection device, and design structures for an electrostatic discharge protection device. A drain of a first field-effect transistor and a diffusion resistor of higher electrical resistance may be formed as different portions of a doped region. The diffusion resistor, which is directly coupled with the drain of the first field-effect transistor, may be defined using an isolation region of dielectric material disposed in the doped region and selective silicide formation. The electrostatic discharge protection device may also include a second field-effect transistor having a drain as a portion the doped region that is directly coupled with the diffusion resistor and indirectly coupled by the diffusion resistor with the drain of the first field-effect transistor.
    Type: Application
    Filed: July 21, 2011
    Publication date: January 24, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: John B. Campi, JR., Shunhua T. Chang, Kiran V. Chatty, Robert J. Gauthier, JR., Rahul Mishra, Junjun Li, Mujahid Muhammad
  • Publication number: 20130023101
    Abstract: A method and manufacture for memory device fabrication is provided. Spacer formation and junction formation is performed on both: a memory cell region in a core section of a memory device in fabrication, and a high-voltage device region in a periphery section of the memory device in fabrication. The spacer formation and junction formation on both the memory cell region and the high-voltage device region includes performing a rapid thermal anneal. After performing the spacer formation and junction formation on both the memory cell region and the high-voltage device region, spacer formation and junction formation is performed on a low-voltage device region in the periphery section.
    Type: Application
    Filed: July 18, 2011
    Publication date: January 24, 2013
    Applicant: Spansion LLC
    Inventors: Simon Siu-Sing Chan, Hidehiko Shiraiwa, Chuan Lin, Lei Xue, Kenichi Ohtsuka, Angela Tai Hui
  • Patent number: 8357957
    Abstract: Provided are a FET-based sensor for detecting an ionic material, an ionic material detecting device including the FET-based sensor, and a method of detecting an ionic material using the FET-based sensor. The FET-based sensor includes: a sensing chamber including a reference electrode and a plurality of sensing FETs; and a reference chamber including a reference electrode and a plurality of reference FETs. The method includes: flowing a first solution into and out of the sensing chamber and the reference chamber of the FET-based sensor; flowing a second solution expected to contain an ionic material into and out of the sensing chamber while continuously flowing the first solution into and out of the reference chamber; measuring a current in a channel region between the source and drain of each of the sensing and reference FETs; and correcting the current of the sensing FETs.
    Type: Grant
    Filed: October 26, 2010
    Date of Patent: January 22, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyu-sang Lee, Kyu-tae Yoo, Jeo-young Shim, Jin-tae Kim, Yeon-ja Cho
  • Publication number: 20130015504
    Abstract: A TSV structure includes a wafer including a first side and a second side, a through via connecting the first side and the second side, a through via dielectric layer covering the inner wall of the through via, a conductive layer which fills up the through via and consists of a single material to be a seamless TSV structure, a first dielectric layer covering the first side and surrounding the conductive layer as well as a second dielectric layer covering the second side and part of the through via dielectric layer but partially covered by the conductive layer.
    Type: Application
    Filed: July 11, 2011
    Publication date: January 17, 2013
    Inventors: Chien-Li Kuo, Chin-Sheng Yang, Ming-Tse Lin
  • Publication number: 20130015505
    Abstract: Methods and apparatus relating to very large scale FET arrays for analyte measurements. ChemFET (e.g., ISFET) arrays may be fabricated using conventional CMOS processing techniques based on improved FET pixel and array designs that increase measurement sensitivity and accuracy, and at the same time facilitate significantly small pixel sizes and dense arrays. Improved array control techniques provide for rapid data acquisition from large and dense arrays. Such arrays may be employed to detect a presence and/or concentration changes of various analyte types in a wide variety of chemical and/or biological processes. In one example, chemFET arrays facilitate DNA sequencing techniques based on monitoring changes in hydrogen ion concentration (pH), changes in other analyte concentration, and/or binding events associated with chemical processes relating to DNA synthesis.
    Type: Application
    Filed: September 14, 2012
    Publication date: January 17, 2013
    Applicant: Life Technologies Corporation
    Inventors: Jonathan M. ROTHBERG, Wolfgang Hinz, Kim L. Johnson, James Bustillo
  • Publication number: 20130015467
    Abstract: In an embodiment, a semiconductor device includes a semiconductor substrate. The semiconductor substrate has a first cavity disposed through it, and conductive material covers at least the bottom portion of the first cavity. An integrated circuit is disposed on the top surface of the conductive material. The device further includes a cap disposed on the top surface of the substrate, such that a cavity disposed on a surface of the cap overlies the first cavity in the substrate.
    Type: Application
    Filed: July 14, 2011
    Publication date: January 17, 2013
    Applicant: Infineon Technologies AG
    Inventors: Ulrich Krumbein, Gerhard Lohninger, Alfons Dehe
  • Publication number: 20130015532
    Abstract: A method for manufacturing a semiconductor device, comprising forming a metal gate of a transistor on a substrate by a replacement metal gate process, wherein an insulating layer is formed on the substrate adjacent the metal gate, forming a hard mask on the substrate including the insulating layer and the metal gate, the hard mask including an opening exposing the metal gate, performing a metal pull back process on the substrate to remove a predetermined depth of a top portion of the metal gate, depositing a protective layer on the substrate, including on the hard mask and on top of a remaining portion of the metal gate, and performing chemical mechanical polishing to remove the hard mask and the protective layer, wherein the protective layer formed on top of the remaining portion of the metal gate remains.
    Type: Application
    Filed: July 14, 2011
    Publication date: January 17, 2013
    Inventors: Ju Youn Kim, Jedon Kim
  • Publication number: 20130015509
    Abstract: A gate dielectric is patterned after formation of a first gate spacer by anisotropic etch of a conformal dielectric layer to minimize overetching into a semiconductor layer. In one embodiment, selective epitaxy is performed to sequentially form raised epitaxial semiconductor portions, a disposable gate spacer, and raised source and drain regions. The disposable gate spacer is removed and ion implantation is performed into exposed portions of the raised epitaxial semiconductor portions to form source and drain extension regions. In another embodiment, ion implantation for source and drain extension formation is performed through the conformal dielectric layer prior to an anisotropic etch that forms the first gate spacer. The presence of the raised epitaxial semiconductor portions or the conformation dielectric layer prevents complete amorphization of the semiconductor material in the source and drain extension regions, thereby enabling regrowth of crystalline source and drain extension regions.
    Type: Application
    Filed: July 15, 2011
    Publication date: January 17, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Balasubramanian S. Haran, Hemanth Jagannathan, Sivananda K. Kanakasabapathy, Sanjay Mehta
  • Publication number: 20130009217
    Abstract: It is provided a transistor, a method for manufacturing the transistor, and a semiconductor chip comprising the transistor.
    Type: Application
    Filed: August 9, 2011
    Publication date: January 10, 2013
    Inventors: Haizhou Yin, Jun Luo, Huilong Zhu, Zhijiong Luo
  • Publication number: 20130009244
    Abstract: The present application discloses an MOSFET and a method for manufacturing the same.
    Type: Application
    Filed: August 1, 2011
    Publication date: January 10, 2013
    Inventors: Huilong Zhu, Qingqing Liang, Zhijiong Luo, Haizhou Yin
  • Patent number: 8349697
    Abstract: A field effect transistor (FET) that includes a drain formed in a first plane, a source formed in the first plane, a channel formed in the first plane and between the drain and the source and a gate formed in the first plane. The gate is separated from at least a portion of the body by an air gap. The air gap is also in the first plane.
    Type: Grant
    Filed: June 29, 2012
    Date of Patent: January 8, 2013
    Assignee: International Business Machines Corporation
    Inventors: Wagdi W. Abadeer, Kiran V. Chatty, Robert J. Gauthier, Jr., Jed H. Rankin, William R. Tonti, Yun Shi
  • Patent number: 8350272
    Abstract: A semiconductor device which is designed based on RDR, suppresses the occurrence of a trouble at the boundary between an active region and a power wire and therearound and is small in size and highly integrated. The semiconductor device includes a first conductive impurity region for functional elements which is formed over the main surface of a semiconductor substrate and a second conductive impurity region for power potential to which power potential is applied in at least one standard cell. It also includes insulating layers which are formed over the main surface of the semiconductor substrate and have throughholes reaching the main surface of the semiconductor substrate, and a conductive layer for contact formed in the throughholes of the insulating layers.
    Type: Grant
    Filed: April 14, 2011
    Date of Patent: January 8, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Nobuo Tsuboi, Masakazu Okada
  • Patent number: 8350294
    Abstract: A MISFET, such as a GaN transistor, with low gate leakage. In one embodiment, the gate leakage is reduced with a compensated GaN layer below the gate contact and above the barrier layer. In another embodiment, the gate leakage is reduced by employing a semi-insulating layer below the gate contact and above the barrier layer.
    Type: Grant
    Filed: April 8, 2010
    Date of Patent: January 8, 2013
    Assignee: Efficient Power Conversion Corporation
    Inventors: Alexander Lidow, Robert Beach, Jianjun Cao, Alana Nakata, Guang Yuan Zhao
  • Publication number: 20130001549
    Abstract: In a method of manufacturing of a semiconductor device according to an embodiment, an inspection transistor is subjected to silicidation and subsequently a characteristic of the inspection transistor is measured after the inspection transistor and a product transistor on a substrate are subjected to an annealing process. Thereafter, based on the measured characteristic, a characteristic adjustment annealing process to make a characteristic of the product transistor close to a desired characteristic is performed, and then the product transistor is subjected to silicidation.
    Type: Application
    Filed: March 15, 2012
    Publication date: January 3, 2013
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Amane OISHI
  • Publication number: 20130001690
    Abstract: The present application provides a MOSFET and a method for manufacturing the same. The MOSFET comprises: a semiconductor substrate; a first buried insulating layer on the semiconductor substrate; a back gate formed in a first semiconductor layer which is on the first buried insulating layer; a second buried insulating layer on the first semiconductor layer; source/drain regions formed in a second semiconductor layer which is on the second buried insulating layer; a gate on the second semiconductor layer; and electrical contacts on the source/drain regions, the gate and the back gate, wherein the back gate is only under a channel region and one of the source/drain regions and not under the other of the source/drain regions, and a common electrical contact is formed between the back gate and the one of the source/drain regions. The MOSFET improves an effect of suppressing short channel effects by an asymmetric back gate, and reduces a footprint on a wafer by using the common conductive via.
    Type: Application
    Filed: August 1, 2011
    Publication date: January 3, 2013
    Inventors: Huilong Zhu, Qingqing Liang, Haizhou Yin, Zhijiong Luo
  • Publication number: 20130001691
    Abstract: The present invention provides a method for manufacturing a semiconductor structure, which comprises: providing an SOI substrate, and forming a gate structure on the SOI substrate; etching an SOI layer and a BOX layer of the SOI substrates on both sides of the gate structure, so as to form trenches exposing the BOX layer and extending partially into the BOX layer; forming metal sidewall spacers on sidewalls of the trenches, wherein the metal sidewall spacers is in contact with the SOI layer under the gate structure; forming an insulating layer filling partially the trenches, and forming a dielectric layer to cover the gate structure and the insulating layer; etching the dielectric layer to form first contact through holes that expose at least partially the insulating layer, and etching the insulating layer from the first contact through holes to form second contact through holes that expose at least partially the metal sidewall spacer; filling the first contact through holes and the second contact through hol
    Type: Application
    Filed: August 25, 2011
    Publication date: January 3, 2013
    Applicant: BEIJING NMC., LTD.
    Inventors: Haizhou Yin, Huilong Zhu, Zhijiong Luo
  • Publication number: 20120326237
    Abstract: Embodiments of the present invention provide a structure. The structure includes a plurality of field-effect-transistors having gate stacks formed on top of a semiconductor substrate, the gate stacks having spacers formed at sidewalls thereof; and one or more conductive contacts formed directly on top of the semiconductor substrate and interconnecting at least one source/drain of one of the plurality of field-effect-transistors to at least one source/drain of another one of the plurality of field-effect-transistors, wherein the one or more conductive contacts is part of a low-profile local interconnect that has a height lower than a height of the gate stacks.
    Type: Application
    Filed: June 27, 2011
    Publication date: December 27, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Shom Ponoth, David V. Horak, Charles W. Koburger, III, Chih-Chao Yang
  • Publication number: 20120326231
    Abstract: The present application discloses a MOSFET and a method for manufacturing the same, wherein the MOSFET comprises: an SOI wafer, which comprises a semiconductor substrate, a buried insulator layer, and a semiconductor layer, the buried insulator layer being disposed on the semiconductor substrate, and the semiconductor layer being disposed on the buried insulator layer; a gate stack, which is disposed on the semiconductor layer; a source region and a drain region, which are disposed in the semiconductor layer and on opposite sides of the gate stack; and a channel region, which are disposed in the semiconductor layer and sandwiched by the source region and the drain region, wherein the MOSFET further comprises a back gate disposed in the semiconductor substrate, and wherein the back gate comprises first, second and third compensation doping regions, the first compensation doping region is disposed under the source region and the drain region; the second compensation doping region extends in a direction away fro
    Type: Application
    Filed: August 1, 2011
    Publication date: December 27, 2012
    Inventors: Huilong Zhu, Miao Xu, Qingqing Liang
  • Publication number: 20120326155
    Abstract: The present application discloses a semiconductor structure and method for manufacturing the same. The semiconductor structure comprises: an SOI substrate and a MOSFET formed on the SOI substrate, wherein the SOI substrate comprises, in a top-down fashion, an SOI layer, a first buried insulator layer, a buried semiconductor layer, a second buried insulator layer, and a semiconductor substrate, the buried semiconductor layer including a backgate region including a portion of the buried semiconductor layer doped with a dopant of a first polarity; the MOSFET comprises a gate stack and source/drain regions, the gate stack being formed on the SOI layer, and the source/drain regions being formed in the SOI layer at opposite sides of the gate stack; and the backgate region includes a counter-doped region, the counter-doped region is self-aligned with the gate stack and includes a dopant of a second polarity, and the second polarity is opposite to the first polarity.
    Type: Application
    Filed: August 2, 2011
    Publication date: December 27, 2012
    Inventors: Huilong Zhu, Qingqing Liang, Zhijiong Luo, Haizhou Yin
  • Publication number: 20120319120
    Abstract: The disclosure involves a semiconductor device and a manufacturing method thereof. First, a dielectric layer and a stack comprising a Si layer and at least one SiGe layer located on the Si layer are formed in sequence on a substrate. Then the stack and the dielectric layer are patterned to form a dummy gate and a gate dielectric layer, respectively. Next, sidewall spacers are formed on opposite sides of the dummy gate, and source and drain regions with embedded SiGe are formed. Then, the dummy gate is removed to form an opening, in which a gate material such as metal is filled. In RMG techniques, by adopting the stack consisting of Si and SiGe layers as a dummy gate, the method can further increase the compressive stress in the channel of a MOS device and thus improve carrier mobility as compared to traditional polysilicon dummy gate process.
    Type: Application
    Filed: September 23, 2011
    Publication date: December 20, 2012
    Applicant: Semiconductor Manufacturing International (Beijing) Corporation
    Inventors: YONGGEN HE, Huojin Tu
  • Publication number: 20120319180
    Abstract: An integrated circuit device and methods of manufacturing the same are disclosed. In an example, integrated circuit device includes a gate structure disposed over a substrate; a source region and a drain region disposed in the substrate, wherein the gate structure interposes the source region and the drain region; and at least one post feature embedded in the gate structure.
    Type: Application
    Filed: June 16, 2011
    Publication date: December 20, 2012
    Inventors: Harry-Hak-Lay Chuang, Ming Zhu
  • Publication number: 20120319181
    Abstract: The present invention provides a semiconductor structure, which comprises a substrate, a semiconductor base, a cavity, a gate stack, sidewall spacers, source/drain regions and a contact layer; wherein, the gate stack is located on the semiconductor base, the sidewall spacers are located on sidewalls of the gate stack, the source/drain regions are embedded within the semiconductor base and located on both sides of the gate stack, the cavity is embedded within the substrate, and the semiconductor base is suspended over the cavity, the thickness in the middle portion of the semiconductor base is greater than the thicknesses at both ends of the semiconductor base in a direction along the gate length, and both ends of the semiconductor base are connected with the substrate in a direction along the gate width; the contact layer covers exposed surfaces of the source/drain regions.
    Type: Application
    Filed: August 24, 2011
    Publication date: December 20, 2012
    Applicants: BEIJING NMC CO., LTD., Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Haizhou Yin, Huilong Zhu, Zhijiong Luo
  • Publication number: 20120313151
    Abstract: A semiconductor device includes a gate structure on a semiconductor substrate, an impurity region at a side of the gate structure and the impurity region is within the semiconductor substrate, an interlayer insulating layer covering the gate structure and the impurity region, a contact structure extending through the interlayer insulating layer and connected to the impurity region, and an insulating region. The contact structure includes a first contact structure that has a side surface surrounded by the interlayer insulating layer and a second contact structure that has a side surface surrounded by the impurity region. The insulating region is under the second contact structure.
    Type: Application
    Filed: June 5, 2012
    Publication date: December 13, 2012
    Inventor: Young-Kyu LEE
  • Publication number: 20120313143
    Abstract: A floating body memory cell, memory circuit, and method for fabricating floating body memory cells. The floating body memory cell includes a bi-layer heterojunction having a first semiconductor coupled to a second semiconductor. The first semiconductor and the second semiconductor have different energy band gaps. The floating body memory cell includes a buried insulator layer. The floating body memory cell includes a back transistor gate separated from the second semiconductor of the bi-layer heterojunction by at least the buried insulated layer. The floating body memory cell also includes a front transistor gate coupled to the first semiconductor of the bi-layer heterojunction.
    Type: Application
    Filed: June 7, 2011
    Publication date: December 13, 2012
    Applicant: International Business Machines Corporation
    Inventors: Kangguo Cheng, Ali Khakifirooz, Pranita Kulkarni, Ghavam G. Shahidi
  • Patent number: 8330196
    Abstract: A semiconductor device according to an embodiment includes: a semiconductor layer; source and drain regions in the semiconductor layer; a magnetic metal semiconductor compound film on each of the source and drain regions, the magnetic metal semiconductor compound film including the same semiconductor as a semiconductor of the semiconductor layer and a magnetic metal; a gate insulating film on the semiconductor layer between the source region and the drain region; a gate electrode on the gate insulating film; a gate sidewall formed at a side portion of the gate electrode, the gate sidewall being made of an insulating material; a film stack formed on the magnetic metal semiconductor compound film on each of the source and drain regions, the film stack including a magnetic layer; and an oxide layer formed on the gate sidewall, the oxide layer containing the same element as an element in the film stack.
    Type: Grant
    Filed: March 14, 2012
    Date of Patent: December 11, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takao Marukame, Mizue Ishikawa, Tomoaki Inokuchi, Hideyuki Sugiyama, Yoshiaki Saito
  • Publication number: 20120306018
    Abstract: A monolithic integrated circuit and method includes a substrate, a plurality of semiconductor device layers monolithically integrated on the substrate, and a metal wiring layer with vias interconnecting the plurality of semiconductor device layers. The semiconductor device layers are devoid of bonding or joining interface with the substrate.
    Type: Application
    Filed: May 31, 2011
    Publication date: December 6, 2012
    Applicant: International Business Machines Corporation
    Inventors: Stephen M. Gates, Daniel C. Edelstein, Satyanarayana V. Nitta
  • Publication number: 20120306028
    Abstract: A semiconductor process is provided, including: a substrate is provided, a buffer layer is formed, and a dielectric layer having a high dielectric constant is formed, wherein the methods of forming the buffer layer include: (1) an oxidation process is performed; and a baking process is performed; Alternatively, (2) an oxidation process is performed; a thermal nitridation process is performed; and a plasma nitridation process is performed; Or, (3) a decoupled plasma oxidation process is performed. Furthermore, a semiconductor structure fabricated by the last process is also provided.
    Type: Application
    Filed: May 30, 2011
    Publication date: December 6, 2012
    Inventors: Yu-Ren Wang, Te-Lin Sun, Szu-Hao Lai, Po-Chun Chen, Chih-Hsun Lin, Che-Nan Tsai, Chun-Ling Lin, Chiu-Hsien Yeh, Chien-Liang Lin, Shao-Wei Wang, Ying-Wei Yen
  • Publication number: 20120299101
    Abstract: A thin-silicon-on-insulator transistor with borderless self-aligned contacts includes a buried oxide layer above a substrate. A silicon layer overlays the buried oxide layer. A gate stack is on the silicon layer. The gate stack includes a gate oxide layer on the silicon layer and a gate electrode on the gate oxide layer. An off-set spacer surrounds the gate stack. Raised source/drain regions each have a first part overlying a portion of the silicon layer, a second part adjacent to off-set spacer, and a third part extending about a top portion of the gate stack.
    Type: Application
    Filed: August 7, 2012
    Publication date: November 29, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Katherina E. BABICH, Michael A. GUILLORN, Isaac LAUER, Amlan MAJUMDAR
  • Publication number: 20120298965
    Abstract: A multigate structure which comprises a semiconductor substrate; an ultra-thin silicon or carbon bodies of less than 20 nanometers thick located on the substrate; an electrolessly deposited metallic layer selectively located on the side surfaces and top surfaces of the ultra-thin silicon or carbon bodies and selectively located on top of the multigate structures to make electrical contact with the ultra-thin silicon or carbon bodies and to minimize parasitic resistance, and wherein the ultra-thin silicon or carbon bodies and metallic layer located thereon form source and drain regions is provided along with a process to fabricate the structure.
    Type: Application
    Filed: August 7, 2012
    Publication date: November 29, 2012
    Applicants: GLOBALFOUNDRIES Inc., International Business Machines Corporation
    Inventors: Wilfried Haensch, Christian Lavoie, Christine Qiqing Ouyang, Xiaoyan Shao, Paul M. Solomon, Zhen Zhang, Bin Yang