Making Of Isolation Regions Between Components (epo) Patents (Class 257/E21.54)

  • Patent number: 7964933
    Abstract: A method of fabricating a semiconductor integrated circuit including a power diode includes providing a semiconductor substrate of first conductivity type, fabricating a integrated circuit such as a CMOS transistor circuit in a first region of the substrate, and fabricating a power diode in a second region in the semiconductor substrate. Dielectric material is formed between the first region and the second regions thereby providing electrical isolation between the integrated circuit in the first region and the power diode in the second region. The power diode can comprise a plurality of MOS source/drain elements and associated gate elements all connected together by one electrode of the diode, and a semiconductor layer in the second region can function as another source/drain of the power diode.
    Type: Grant
    Filed: June 22, 2007
    Date of Patent: June 21, 2011
    Assignee: Diodes Inc.
    Inventors: Paul Chang, Geeng-Chuan Chern, Prognyan Ghosh, Wayne Y. W. Hsueh, Vladimir Rodov
  • Publication number: 20110140232
    Abstract: An electronic system, method of manufacture of a semiconductor structure, and one or more semiconductor structures are disclosed. For example, a method of manufacture of a semiconductor structure is disclosed, which includes forming a semiconductor layer over a thermal conduction layer, forming an isolation region over the thermal conduction layer, and forming a thermal conduction region in the isolation region.
    Type: Application
    Filed: December 14, 2010
    Publication date: June 16, 2011
    Applicant: INTERSIL AMERICAS INC.
    Inventors: Stephen J. Gaul, Michael D. Church, Rick Carlton Jerome
  • Publication number: 20110143518
    Abstract: A transistor heterogeneously integrating a power amplifier or switch with a low-noise amplifier having a substrate wafer selected from a group consisting of Gallium Arsenide (GaAs), Indium Phosphate (InP) and Gallium Antimonide (GaSb), the substrate having a first end and a second end, a conducting layer above the first end of the substrate, an isolation implant providing lateral isolation in the conducting layer, a first active layer deposited above the conducting layer and configured for the low-noise amplifier, and a buffer layer deposited above the conducting layer and configured for the low-noise amplifier.
    Type: Application
    Filed: February 22, 2011
    Publication date: June 16, 2011
    Inventors: Berinder Brar, Joshua I. Bergman, Amal Ikhlassi, Gabor Nagy, Gerard J. Sullivan
  • Patent number: 7955960
    Abstract: A nonvolatile memory device and a method of fabricating the same is provided to prevent charges stored in a charge trap layer from moving to neighboring memory cells. The method of fabricating a nonvolatile memory device, includes forming a first dielectric layer on a semiconductor substrate in which active regions are defined by isolation layers, forming a charge trap layer on the first dielectric layer, removing the first dielectric layer and the charge trap layer over the isolation layers, forming a second dielectric layer on the isolation layers including the charge trap layer, and forming a conductive layer on the second dielectric layer.
    Type: Grant
    Filed: March 21, 2008
    Date of Patent: June 7, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Se Jun Kim, Eun Seok Choi, Kyoung Hwan Park, Hyun Seung Yoo, Myung Shik Lee, Young Ok Hong, Jung Ryul Ahn, Yong Top Kim, Kyung Pil Hwang, Won Sic Woo, Jae Young Park, Ki Hong Lee, Ki Seon Park, Moon Sig Joo
  • Publication number: 20110124178
    Abstract: An integrated circuit transistor is fabricated with a trench gate having nonconductive sidewalls. The transistor is surrounded by an isolation trench filled with a nonconductive material. The sidewalls of the gate trench are formed of the nonconductive material and are substantially free of unetched substrate material. As a result, the sidewalls of the gate trench do not form an undesired conductive path between the source and the drain of the transistor, thereby advantageously reducing the amount of parasitic current that flows between the source and drain during operation.
    Type: Application
    Filed: January 31, 2011
    Publication date: May 26, 2011
    Applicant: ROUND ROCK RESEARCH, LLC
    Inventors: Michael Smith, Mark Helm, Kirk Prall
  • Patent number: 7947567
    Abstract: A semiconductor device fabrication method is disclosed. The method comprises an insulating film forming step of forming an insulating film on a semiconductor substrate; a trench forming step of forming a trench for device isolation in a predetermined part of the semiconductor substrate; a trench filling step of forming a buried oxide film filling the trench; a polishing step of polishing the buried oxide film on the semiconductor substrate until the insulating film is exposed; a thickness measuring step of measuring the thickness of the insulating film remaining after the polishing; an etching amount determining step of determining an etching amount of etching the polished buried oxide film based on the measured thickness of the remaining insulating film; and a buried oxide film etching step of etching the polished buried oxide film based on the determined etching amount.
    Type: Grant
    Filed: August 27, 2007
    Date of Patent: May 24, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Junji Oh, Masanori Terahara
  • Publication number: 20110101452
    Abstract: A trench-gate semiconductor device configuration is provided which is suitable for incorporation in integrated circuits, together with methods for its manufacture. A self-aligned drain region (12a) is provided below the device trench (18). The manufacturing methods include etching an initial trench into a semiconductor body (8), and annealing so as to cause migration of material such that a shallower trench with a cavity (36) below it are formed. The drain region is then formed in the cavity.
    Type: Application
    Filed: May 20, 2009
    Publication date: May 5, 2011
    Applicant: NXP B.V.
    Inventors: Jan Sonsky, Eero Saarnilehto
  • Patent number: 7927976
    Abstract: Provided are reinforced composite stamps, devices and methods of making the reinforced composite stamps disclosed herein. Reinforced composite stamps of certain aspects of the present invention have a composition and architecture optimized for use in printing systems for dry transfer printing of semiconductor structures, and impart excellent control over relative spatial placement accuracy of the semiconductor structures being transferred. In some embodiments, for example, reinforced composite stamps of the present invention allow for precise and repeatable vertical motion of the patterned surface of the printing apparatus with self-leveling of the stamp to the surface of a contacted substrate. Reinforced composite stamps of certain aspect of the present invention achieve a uniform distribution of contact forces between the printing apparatus patterned surface and the top surface of a substrate being contacted by the reinforced composite stamp of the printing apparatus.
    Type: Grant
    Filed: July 23, 2008
    Date of Patent: April 19, 2011
    Assignee: Semprius, Inc.
    Inventor: Etienne Menard
  • Patent number: 7927967
    Abstract: A method for manufacturing a semiconductor memory device, includes: forming a stacked unit above a semiconductor substrate; making a hole in the stacked unit to pass through electrode layers and insulating layers of the stacked unit; forming an insulating film on a side wall of the hole, the insulating film including a charge storage layer; forming a semiconductor layer in an interior of the hole to align in a stacking direction of the electrode layers and the insulating layers to form a memory string; making a trench in a portion of the stacked unit proximal to the memory string to pass through the electrode layers and the insulating layers; forming a metal film on a side wall of the trench; forming a cap film to cover the metal film and fill into the trench; performing heat treatment to form a compound on the side wall of the trench.
    Type: Grant
    Filed: October 29, 2009
    Date of Patent: April 19, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kayo Nomura, Hideto Matsuyama
  • Publication number: 20110084354
    Abstract: In a semiconductor device according to the present invention, an electrode layer and a recessed part are formed on a surface of a semiconductor substrate. Further, in the semiconductor substrate, a RESURF layer that is in contact with a bottom surface of the recessed part and the electrode layer is formed. In addition, an insulating film is formed on an upper surface of the semiconductor substrate so as to fill the recessed part. Moreover, a field plate electrode is formed on the insulating film above the recessed part.
    Type: Application
    Filed: July 28, 2010
    Publication date: April 14, 2011
    Applicant: MITSUBISHI ELECTRIC CORPORATION
    Inventors: Shigeto Honda, Atsushi Narazaki, Kaoru Motonami
  • Patent number: 7923808
    Abstract: A structure includes a substrate comprising a region having a circuit or device which is sensitive to electrical noise. Additionally, the structure includes a first isolation structure extending through an entire thickness of the substrate and surrounding the region and a second isolation structure extending through the entire thickness of the substrate and surrounding the region.
    Type: Grant
    Filed: November 20, 2007
    Date of Patent: April 12, 2011
    Assignee: International Business Machines Corporation
    Inventors: Hanyi Ding, Kai D. Feng, Zhong-Xiang He, Xuefeng Liu
  • Patent number: 7919389
    Abstract: A semiconductor memory device having a memory cell region and a peripheral circuit region, and a method of manufacturing such a semiconductor memory device, are proposed, in which trench grooves are formed to be shallow in the memory cell region in order to improve the yield, and trench grooves are formed to be deep in the high voltage transistor region of the peripheral circuit region, in particular in a high voltage transistor region thereof, in order to improve the element isolation withstand voltage. A plurality of memory cell transistors having an ONO layer 15 serving as a charge accumulating insulating layer are provided in the memory cell region, where element isolation grooves 6 for these memory cell transistors are narrow and shallow.
    Type: Grant
    Filed: July 6, 2009
    Date of Patent: April 5, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Akira Goda, Mitsuhiro Noguchi
  • Patent number: 7915138
    Abstract: In a method of manufacturing a non-volatile memory device, a conductive structure is formed on a substrate. The conductive structure includes a tunnel oxide pattern, a first conductive pattern, a pad oxide pattern and a hard mask pattern. A trench is formed on the substrate using the conductive structure as an etching mask. An inner oxide layer is formed on an inner wall of the trench and sidewalls of the tunnel oxide pattern and the first conductive pattern. The inner oxide layer is cured, thereby forming a silicon nitride layer on the inner oxide layer. A device isolation pattern is formed in the trench, and the hard mask pattern and the pad oxide pattern are removed from the substrate. A dielectric layer and a second conductive pattern are formed on the substrate. Accordingly, the silicon nitride layer prevents hydrogen (H) atoms from leaking into the device isolation pattern.
    Type: Grant
    Filed: June 16, 2009
    Date of Patent: March 29, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hye-Jin Cho, Kyu-Charn Park, Choong-Ho Lee, Byung-Yong Choi
  • Patent number: 7910496
    Abstract: By removing excess material of an interlayer dielectric material deposited by SACVD, the gap filling capabilities of this deposition technique may be exploited, while, on the other hand, negative effects of this material may be reduced. In other aspects, a buffer material, such as silicon dioxide, may be formed prior to depositing the interlayer dielectric material on the basis of SACVD, thereby creating enhanced uniformity during the deposition process when depositing the interlayer dielectric material on dielectric layers having different high intrinsic stress levels. Consequently, the reliability of the interlayer dielectric material may be enhanced while nevertheless maintaining the advantages provided by an SACVD deposition.
    Type: Grant
    Filed: January 25, 2008
    Date of Patent: March 22, 2011
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Frank Feustel, Kai Frohberg, Carsten Peters
  • Publication number: 20110062547
    Abstract: A semiconductor device which eliminates the need for high fillability through a simple process and a method for manufacturing the same. A high breakdown voltage lateral MOS transistor including a source region and a drain region is completed on a surface of a semiconductor substrate. A trench which surrounds the transistor when seen in a plan view is made in the surface of the semiconductor substrate. An insulating film is formed over the transistor and in the trench so as to cover the transistor and form an air-gap space in the trench. Contact holes which reach the source region and drain region of the transistor respectively are made in an interlayer insulating film.
    Type: Application
    Filed: September 15, 2010
    Publication date: March 17, 2011
    Inventors: Kazuma ONISHI, Yoshitaka Otsu, Hiroshi Kimura, Tetsuya Nitta, Shinichiro Yanagi, Katsumi Morii
  • Patent number: 7902083
    Abstract: According to one embodiment of the disclosure, a method for passivating a circuit device generally includes providing a substrate having a substrate surface, forming an electrical component on the substrate surface, and coating the substrate surface and the electrical component with a first protective dielectric layer. The first protective dielectric layer is made of a generally moisture insoluble material having a moisture permeability less than 0.01 gram/meter2/day, a moisture absorption less than 0.04 percent, a dielectric constant less than 10, a dielectric loss less than 0.005, a breakdown voltage strength greater than 8 million volts/centimeter, a sheet resistivity greater than 1015 ohm-centimeter, and a defect density less than 0.5/centimeter2.
    Type: Grant
    Filed: January 21, 2010
    Date of Patent: March 8, 2011
    Assignee: Raytheon Company
    Inventors: John M. Bedinger, Michael A. Moore, Robert B. Hallock, Kamal Tabatabaie Alavi, Thomas E. Kazior
  • Publication number: 20110053328
    Abstract: In a method for manufacturing a memory cell, a substrate is provided. A doped region with a first conductive type is formed in the substrate near a surface of the substrate. A portion of the substrate is removed to define a plurality of fin structures in the substrate. A plurality of isolation structures is formed among the fin structures. A surface of the isolation structures is lower than a surface of the fin structures. A gate structure is formed over the substrate and straddles the fin structure. The gate structure includes a gate straddling the fin structure and a charge storage structure located between the fin structure and the gate. A source/drain region is formed with a second conductive type in the fin structure exposed by the gate structure, and the first conductive type is different from the second conductive type.
    Type: Application
    Filed: November 9, 2010
    Publication date: March 3, 2011
    Applicant: MACRONIX International Co., Ltd.
    Inventors: TZU-HSUAN HSU, Hang-Ting Lue
  • Patent number: 7898010
    Abstract: A pinned photodiode with improved short wavelength light response. In exemplary embodiments of the invention, a gate oxide is formed over a doped, buried region in a semiconductor substrate. A conductor is formed on top of the gate oxide. The gate conductor is transparent, and in one embodiment is a layer of indium-tin oxide. The transparent conductor can be biased to reduce the need for a surface dopant in creating a pinned photodiode region. The biasing of the transparent conductor produces a hole-rich accumulation region near the surface of the substrate. The gate conductor material permits a greater amount of charges from short wavelength light to be captured in the photo-sensing region in the substrate, and thereby increases the quantum efficiency of the photosensor.
    Type: Grant
    Filed: July 1, 2004
    Date of Patent: March 1, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Chandra Mouli, Howard E. Rhodes
  • Patent number: 7897478
    Abstract: A method of making a semiconductor device includes forming shallow trench isolation structures in a semiconductor device layer. The shallow trench isolation structures are U- or O- shaped enclosing field regions formed of the semiconductor device layer which is doped and/or silicided to be conducting. The semiconductor device may include an extended drain region or drift region and a drain region. An insulated gate may be provided over the body region. A source region may be shaped to have a deep source region and a shallow source region. A contact region of the same conductivity type as the body may be provided adjacent to the deep source region. The body extends under the shallow source region to contact the contact region.
    Type: Grant
    Filed: December 18, 2006
    Date of Patent: March 1, 2011
    Assignee: NXP B.V.
    Inventor: Jan Sonsky
  • Patent number: 7888234
    Abstract: A method for manufacturing a semiconductor body with a trench comprises the steps of etching the trench (11) in the semiconductor body (10) and forming a silicon oxide layer (12) on at least one side wall (14) of the trench (11) and on the bottom (15) of the trench (11) by means of thermal oxidation. Furthermore, the silicon oxide layer (12) on the bottom (15) of the trench (11) is removed and the trench (11) is filled with polysilicon that forms a polysilicon body (13).
    Type: Grant
    Filed: April 17, 2008
    Date of Patent: February 15, 2011
    Assignee: austriamicrosystems AG
    Inventors: Martin Knaipp, Bernhard Löffler
  • Patent number: 7883913
    Abstract: A manufacturing method of an image sensor of vertical type is provided that includes: forming an insulation layer with a metal wiring and a contact plug therein on a first substrate; bonding a second substrate having an image sensing unit over the insulation layer; forming a trench in the second substrate to divide the image sensing unit for each pixel; forming a PTI by gap-filling the trench with insulating material; forming a first material layer over the PTI, the image sensing unit, and the insulation layer; and forming a second material layer over the first material layer and performing a deuterium annealing process thereon. The crystal defects of the substrate generated when performing the trench etching on the donor substrate to define unit pixels are cured by performing the deuterium annealing process, making it possible to improve the sensitivity and illumination characteristics of the image sensor of vertical type.
    Type: Grant
    Filed: December 15, 2009
    Date of Patent: February 8, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Jong Man Kim
  • Publication number: 20110020992
    Abstract: Nanostructure-based charge storage regions are included in non-volatile memory devices and integrated with the fabrication of select gates and peripheral circuitry. One or more nanostructure coatings are applied over a substrate at a memory array area and a peripheral circuitry area. Various processes for removing the nanostructure coating from undesired areas of the substrate, such as target areas for select gates and peripheral transistors, are provided. One or more nanostructure coatings are formed using self-assembly based processes to selectively form nanostructures over active areas of the substrate in one example. Self-assembly permits the formation of discrete lines of nanostructures that are electrically isolated from one another without requiring patterning or etching of the nanostructure coating.
    Type: Application
    Filed: July 20, 2010
    Publication date: January 27, 2011
    Inventors: Vinod Robert Purayath, James K. Kai, Masaaki Higashitani, Takashi Orimoto, George Matamis, Henry Chien
  • Publication number: 20110012225
    Abstract: In a semiconductor device having element isolation made of a trench-type isolating oxide film 13, large and small dummy patterns 11 of two types, being an active region of a dummy, are located in an isolating region 10, the large dummy patterns 11b are arranged at a position apart from actual patterns 9, and the small dummy patterns 11a are regularly arranged in a gap at around a periphery of the actual patterns 9, whereby uniformity of an abrading rate is improved at a time of abrading an isolating oxide film 13a is improved, and surface flatness of the semiconductor device becomes preferable.
    Type: Application
    Filed: September 27, 2010
    Publication date: January 20, 2011
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: Kazuo TOMITA
  • Publication number: 20110012191
    Abstract: A method for fabricating a memory device with a self-aligned trap layer which is optimized for scaling is disclosed. In the present invention, a non-conformal oxide is deposited over the charge trapping layer to form a thick oxide on top of the core source/drain region and a pinch off and a void at the top of the STI trench. An etch is performed on the pinch-off oxide and the thin oxide on the trapping layer on the STI oxide. The trapping layer is then partially etched between the core cells. A dip-off of the oxide on the trapping layer is performed. And a top oxide is formed. The top oxide converts the remaining trap layer to oxide and thus isolate the trap layer.
    Type: Application
    Filed: September 27, 2010
    Publication date: January 20, 2011
    Inventors: Shenqing FANG, Kuo-Tung CHANG, Tim THURGATE, YouSeok SUH, Allison HOLBROOK
  • Patent number: 7867834
    Abstract: A manufacturing method of a semiconductor device according to an embodiment includes: forming a trench for a device isolation area and a semiconductor projection with a first width by etching a semiconductor substrate; forming an oxide film on the trench and the semiconductor projections; forming an insulating layer on the oxide film; exposing the upper surface of the semiconductor projection by polishing the insulating layer and the oxide film; forming a gate insulating layer at a lower region of the semiconductor projection; and etching the insulating layer and the oxide film on the substrate.
    Type: Grant
    Filed: July 18, 2007
    Date of Patent: January 11, 2011
    Assignee: Dongbu Hitek Co., Ltd.
    Inventors: Eun Soo Jeong, Jea Hee Kim
  • Publication number: 20110003456
    Abstract: A method of making a semi-insulating epitaxial layer includes implanting a substrate or a first epitaxial layer formed on the substrate with boron ions to form a boron implanted region on a surface of the substrate or on a surface of the first epitaxial layer, and growing a second epitaxial layer on the boron implanted region of the substrate or on the boron implanted region of the first epitaxial layer to form a semi-insulating epitaxial layer.
    Type: Application
    Filed: September 14, 2010
    Publication date: January 6, 2011
    Applicant: SEMISOUTH LABORATORIES, INC.
    Inventor: Michael S. MAZZOLA
  • Publication number: 20100327395
    Abstract: A Direct Silicon Bonded substrate can include a first substrate and a second substrate in which the second substrate can be rotated to an azimuthal twist angle of 45 degrees in comparison to the first substrate. Disclosed are a semiconductor device and a method for making a semiconductor device that includes a DSB substrate with an adjusted thickness based upon the threshold voltage (Vt). In other words, a thicker substrate or layer can correspond to a high threshold voltage (HVt) and a thinner substrate or layer can correspond to a low threshold voltage (LVt) in order to improve mobility in LVt devices.
    Type: Application
    Filed: June 29, 2009
    Publication date: December 30, 2010
    Applicant: TOSHIBA AMERICA ELECTRONIC COMPONENTS, INC.
    Inventors: Masafumi Hamaguchi, Ryoji Hasumi
  • Publication number: 20100327334
    Abstract: Some embodiments include apparatus and methods having a base; a memory cell including a body, a source, and a drain; and an insulation material electrically isolating the body, the source, and the drain from the base, where the body is configured to store information. The base and the body include bulk semiconductor material. Additional apparatus and methods are described.
    Type: Application
    Filed: June 25, 2009
    Publication date: December 30, 2010
    Inventor: Paul Grisham
  • Patent number: 7858488
    Abstract: A method of forming a device isolation film for a semiconductor device comprising forming a trench on a silicon semiconductor substrate, rounding an upper corner of the trench using an in-situ plasma method, filling the trench by forming an insulating layer over the silicon semiconductor substrate, and forming a shallow trench isolation area by performing a planarization process on the insulating layer so as to expose the silicon semiconductor substrate.
    Type: Grant
    Filed: October 15, 2007
    Date of Patent: December 28, 2010
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Cheon Man Shim
  • Patent number: 7855116
    Abstract: In a nonvolatile semiconductor memory device which has a nonvolatile memory cell portion, a low-voltage operating circuit portion of a peripheral circuit region and a high-voltage operating circuit portion of the peripheral circuit region formed on a substrate and in which elements of the above portions are isolated from one another by filling insulating films, the upper surface of the filling insulating films in the high-voltage operating circuit portion lies above the surface of the substrate and the upper surface of at least part of the filling insulating films in the low-voltage operating circuit portion is pulled back to a portion lower than the surface of the substrate.
    Type: Grant
    Filed: September 11, 2008
    Date of Patent: December 21, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masahiro Kiyotoshi
  • Patent number: 7855124
    Abstract: A method for forming a semiconductor device, includes the steps of providing a substrate; forming a patterned stack on the substrate including a first dielectric layer on the substrate, a first conductive layer on the first dielectric layer and a mask layer on the first conductive layer, wherein a width of the mask layer is smaller than a width of the first conductive layer; forming a second dielectric layer on the sidewall of the patterned stack; forming a third dielectric layer on the substrate; forming a second conductive layer over the substrate; and removing the mask layer and a portion of the first conductive layer covered by the mask layer to form an opening so as to partially expose the first conductive layer.
    Type: Grant
    Filed: February 22, 2008
    Date of Patent: December 21, 2010
    Assignee: Nanya Technology Corp.
    Inventors: Hung-Ming Tsai, Ching-Nan Hsiao, Chung-Lin Huang
  • Patent number: 7855090
    Abstract: A test circuit for, and method of, determining electrical properties of an underlying interconnect layer and an overlying interconnect layer of an integrated circuit (IC) and an IC incorporating the test circuit or the method. In one embodiment, the test circuit includes a gate chain having a ring path and a stage. In one embodiment, the stage includes: (1) a underlying test segment in the underlying interconnect layer, (2) a overlying test segment in the overlying interconnect layer and (3) logic circuitry activatible after formation of the underlying interconnect layer and before formation of the overlying interconnect layer to place the underlying test segment in the ring path and further activatible after the formation of the overlying interconnect layer to substitute the overlying test segment for the underlying test segment in the ring path.
    Type: Grant
    Filed: August 4, 2010
    Date of Patent: December 21, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Makarand R. Kulkarni, Andrew Marshall
  • Patent number: 7851328
    Abstract: A method of manufacturing a semiconductor structure is provided. The method includes forming a hard mask pattern on a semiconductor substrate, wherein the hard mask pattern covers active regions; forming a trench in the semiconductor substrate within an opening defined by the hard mask pattern; filling the trench with a dielectric material, resulting in a trench isolation feature; performing an ion implantation to the trench isolation feature using the hard mask pattern to protect active regions of the semiconductor substrate; and removing the hard mask pattern after the performing of the ion implantation.
    Type: Grant
    Filed: September 22, 2008
    Date of Patent: December 14, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Han Liao, Tze-Liang Lee, Ling-Yen Yeh, Mong-Song Liang
  • Patent number: 7847358
    Abstract: A semiconductor structure formed on a substrate and process for preventing oxidation induced stress in a determined portion of the substrate. The structure includes an n-FET device and a p-FET device, and a shallow trench isolation having at least one overhang is selectively configured to prevent oxidation induced stress in a determined portion of the substrate. The at least one overhang is selectively configured to prevent oxidation induced stress in at least one of a direction parallel to and a direction transverse to a direction of a current flow. For the n-FET device, the at least one overhang is selectively arranged in directions of and transverse to a current flow, and for the p-FET device, the at least one overhang is arranged transverse to the current flow to prevent performance degradation from compressive stresses.
    Type: Grant
    Filed: August 4, 2006
    Date of Patent: December 7, 2010
    Assignee: International Business Machines Corporation
    Inventors: Bruce B Doris, Oleg G Gluschenkov
  • Patent number: 7846789
    Abstract: A semiconductor device comprising a first transistor device on or in a semiconductor substrate and a second transistor device on or in the substrate. The device further comprises an insulating trench located between the first transistor device and the second transistor device. At least one upper corner of the insulating trench is a rounded corner in a lateral plane of the substrate.
    Type: Grant
    Filed: October 16, 2007
    Date of Patent: December 7, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Sameer P. Pendharkar, John Lin, Philip L. Hower, Steven L. Merchant
  • Patent number: 7846790
    Abstract: A method of fabricating a semiconductor device having multiple gate dielectric layers and a semiconductor device fabricated thereby, in which the method includes forming an isolation layer defining first and second active regions in a semiconductor substrate. A passivation layer is formed on the substrate having the isolation layer. A first patterning process is carried out that etches the passivation layer on the first active region to form a first opening exposing the first active region, and a first dielectric layer is formed in the exposed first active region. A second patterning process is carried out, which etches the passivation layer on the second active region to form a second opening exposing the second active region, and a second dielectric layer is formed in the exposed second active region.
    Type: Grant
    Filed: October 23, 2007
    Date of Patent: December 7, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Gun Kang, Kang-Soo Chu
  • Publication number: 20100304547
    Abstract: A device and method of reducing residual STI corner defects in a hybrid orientation transistor comprising, forming a direct silicon bonded substrate wherein a second silicon layer with a second crystal orientation is bonded to a handle substrate with a first crystal orientation, forming a pad oxide layer on the second silicon layer, forming a nitride layer on the pad oxide layer, forming an isolation trench within the direct silicon bonded substrate through the second silicon layer and into the handle substrate, patterning a PMOS region of the direct silicon bonded substrate utilizing photoresist including a portion of the isolation trench, implanting and amorphizing an NMOS region of the direct silicon bonded substrate, removing the photoresist, performing solid phase epitaxy, performing a recrystallization anneal, forming an STI liner, completing front end processing, and performing back end processing.
    Type: Application
    Filed: December 14, 2009
    Publication date: December 2, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Angelo Pinto, Periannan R. Chidambaram, Rick L. Wise
  • Patent number: 7842577
    Abstract: A method of forming an integrated circuit structure includes providing a semiconductor substrate; forming a first isolation region in the semiconductor substrate; after the step of forming the first isolation region, forming a metal-oxide-semiconductor (MOS) device at a surface of the semiconductor substrate, wherein the step of forming the MOS device comprises forming a source/drain region; and after the step of forming the MOS device, forming a second isolation region in the semiconductor substrate.
    Type: Grant
    Filed: May 27, 2008
    Date of Patent: November 30, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Ka-Hing Fung
  • Publication number: 20100289106
    Abstract: A photodiode includes a first doped layer and a second doped layer that share a common face. A deep isolation trench has a face contiguous with the first and second doped layers. A conducting layer is in contact with a free face of the second doped layer. A protective layer is provided at an interface with the first doped layer and second doped layer. This protective layer is capable of generating a layer of negative charge at the interface. The protective layer may further be positioned within the second doped layer to form an intermediate protective structure.
    Type: Application
    Filed: May 17, 2010
    Publication date: November 18, 2010
    Applicants: STMICROELECTRONICS S.A., STMICROELECTRONICS (CROLLES 2) SAS
    Inventors: Jorge Regolini, Michael Gros-Jean
  • Publication number: 20100276779
    Abstract: A vertical transient voltage suppressing (TVS) device includes a semiconductor substrate of a first conductivity type where the substrate is heavily doped, an epitaxial layer of the first conductivity type formed on the substrate where the epitaxial layer has a first thickness, and a base region of a second conductivity type formed in the epitaxial layer where the base region is positioned in a middle region of the epitaxial layer. The base region and the epitaxial layer provide a substantially symmetrical vertical doping profile on both sides of the base region. In one embodiment, the base region is formed by high energy implantation. In another embodiment, the base region is formed as a buried layer. The doping concentrations of the epitaxial layer and the base region are selected to configure the TVS device as a punchthrough diode based TVS or an avalanche mode TVS.
    Type: Application
    Filed: April 30, 2009
    Publication date: November 4, 2010
    Applicant: Alpha & Omega Semiconductor, Inc.
    Inventors: Lingpeng Guan, Madhur Bobde, Anup Bhalla
  • Patent number: 7824983
    Abstract: Methods of isolating gates in a semiconductor structure. In one embodiment, isolation is achieved using a spacer material in combination with fins having substantially vertical sidewalls. In another embodiment, etch characteristics of various materials utilized in fabrication of the semiconductor structure are used to increase an effective gate length (“Leffective”) and a field gate oxide. In yet another embodiment, a V-shaped trench is formed in the semiconductor structure to increase the Leffective and the field gate oxide. Semiconductor structures formed by these methods are also disclosed.
    Type: Grant
    Filed: June 2, 2008
    Date of Patent: November 2, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Werner Juengling
  • Publication number: 20100270608
    Abstract: Semiconductor devices are provided with encapsulating films for protection of sidewall features during fabrication processes, such as etching to form isolation regions. In a non-volatile flash memory, for example, a trench isolation process is divided into segments to incorporate an encapsulating film along the sidewalls of charge storage material. A pattern is formed over the layer stack followed by etching the charge storage material to form strips elongated in the column direction across the substrate, with a layer of tunnel dielectric material therebetween. Before etching the substrate, an encapsulating film is formed along the sidewalls of the strips of charge storage material. The encapsulating film can protect the sidewalls of the charge storage material during subsequent cleaning, oxidation and etch processes. In another example, the encapsulating film is simultaneously formed while etching to form strips of charge storage material and the isolation trenches.
    Type: Application
    Filed: April 20, 2010
    Publication date: October 28, 2010
    Inventors: Tuan Pham, Sanghyun Lee, Masato Horiike, Klaus Schuegraf, Masaaki Higashitani, Keiichi Isono
  • Patent number: 7821086
    Abstract: A semiconductor memory device includes a first write line which is provided in a first direction, a first memory element which is connected to the first write line, a second memory element which is provided to neighbor the first memory element in the first direction, and is connected to the first write line, a first insulation film which is provided on surfaces of the first and second memory elements, and a second insulation film which is provided between the neighboring first and second memory elements and has a lower heat conductivity than the first insulation film.
    Type: Grant
    Filed: November 27, 2006
    Date of Patent: October 26, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takeshi Kajiyama
  • Patent number: 7820519
    Abstract: A process of forming an electronic device can include providing a semiconductor-on-insulator substrate including a substrate, a first semiconductor layer, and a buried insulating layer lying between the first semiconductor layer and the substrate. The process can also include forming a field isolation region within the semiconductor layer, and forming an opening extending through the semiconductor layer and the buried insulating layer to expose the substrate. The process can further include forming a conductive structure within the opening, wherein the conductive structure abuts the substrate.
    Type: Grant
    Filed: November 3, 2006
    Date of Patent: October 26, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Todd C. Roggenbauer, Vishnu K. Khemka, Ronghua Zhu, Amitava Bose, Paul Hui, Xiaoqiu Huang, Van Wong
  • Publication number: 20100264508
    Abstract: A semiconductor device and manufacturing method is disclosed. One embodiment provides a common substrate of a first conductivity type and at least two wells of a second conductivity type. A buried high Ohmic region and at least an insulating structure is provided insulating the first well from the second well. The insulating structure extends through the buried high Ohmic region and includes a conductive plug in Ohmic contact with the first semiconductor region. A method for forming an integrated semiconductor device is also provided.
    Type: Application
    Filed: April 17, 2009
    Publication date: October 21, 2010
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Matthias Stecher, Hans-Joachim Schulze, Thomas Neidhart
  • Publication number: 20100265773
    Abstract: A 3D memory device includes an array of semiconductor body pillars and bit line pillars, dielectric charge trapping structures, and a plurality of levels of word line structures arranged orthogonally to the array of semiconductor body pillars and bit line pillars. The semiconductor body pillars have corresponding bit line pillars on opposing first and second sides, providing source and drain terminals. The semiconductor body pillars have first and second channel surfaces on opposing third and fourth sides. Dielectric charge trapping structures overlie the first and second channel surfaces, providing data storage sites on two sides of each semiconductor body pillar in each level of the 3D array. The device can be operated as a 3D AND-decoded flash memory.
    Type: Application
    Filed: February 12, 2010
    Publication date: October 21, 2010
    Applicant: Macronix International Co., Ltd.
    Inventors: HSIANG-LAN LUNG, Yen-Hao Shih, Erh-Kun Lai, Ming Hsiu Lee, Hang-Ting Lue
  • Patent number: 7816223
    Abstract: Provided are an alignment key, a method for fabricating the alignment key, and a method for fabricating a thin film transistor substrate using the alignment key. The method for fabricating the alignment key includes forming a first metal layer on a base substrate, forming a first alignment key and a first mark portion of a second alignment key by selectively patterning the first metal layer, forming a dielectric on the first metal layer, forming a second metal layer on the dielectric, and forming a second mark portion of the second alignment key on the dielectric by selectively patterning the second metal layer.
    Type: Grant
    Filed: June 27, 2008
    Date of Patent: October 19, 2010
    Assignee: LG Display Co., Ltd.
    Inventors: Youn Gyoung Chang, Seung Hee Nam, Nam Kook Kim, Soon Sung Yoo
  • Publication number: 20100252870
    Abstract: Embodiments of the invention relate to dual shallow trench isolations (STI). In various embodiments related to CMOS Image Sensor (CIS) technologies, the dual STI refers to one STI structure in the pixel region and another STI structure in the periphery or logic region. The depth of each STI structure depends on the need and/or isolation tolerance of devices in each region. In an embodiment, the pixel region uses NMOS devices and the STI in this region is shallower than that of in the periphery region that includes both NMOS and PMOS device having different P- and N-wells and that desire more protective isolation (i.e., deeper STI). Depending on implementations, different numbers of masks (e.g., two, three) are used to generate the dual STI, and are disclosed in various method embodiments.
    Type: Application
    Filed: March 31, 2010
    Publication date: October 7, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Jeng-Shyan LIN, Dun-Nian YAUNG, Jen-Cheng LIU, Chun-Chieh CHUANG, Volume CHIEN
  • Publication number: 20100244179
    Abstract: A method and structure for preventing latchup. The structure includes a latchup sensitive structure and a through wafer via structure bounding the latch-up sensitive structure to prevent parasitic carriers from being injected into the latch-up sensitive structure.
    Type: Application
    Filed: March 26, 2009
    Publication date: September 30, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Steven H. VOLDMAN
  • Patent number: 7799648
    Abstract: A semiconductor device formed on a strained silicon layer and a method of manufacturing such a semiconductor device are disclosed. In accordance with this invention, a first silicon germanium layer is formed on a single crystalline silicon substrate; a second silicon germanium layer is formed on the first silicon germanium layer, the second silicon germanium layer having a concentration of germanium in a range of about 1 percent by weight to about 15 percent by weight based on the total weight of the second silicon germanium layer; a strained silicon layer is formed on the second silicon germanium layer; an isolation layer is formed at a first portion of the strained silicon layer; a gate structure is formed on the strained silicon layer; and, source/drain regions are formed at second portions of the strained silicon layer adjacent to the gate structure to form a transistor.
    Type: Grant
    Filed: June 4, 2009
    Date of Patent: September 21, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sun-Ghil Lee, Young-Pil Kim, Yu-Gyun Shin, Jong-Wook Lee, Young-Eun Lee