Making Of Isolation Regions Between Components (epo) Patents (Class 257/E21.54)

  • Publication number: 20100227451
    Abstract: A semiconductor device manufacturing method includes: forming an element-isolating insulating film in an element-forming region, and an underlying insulating film in a peripheral region; forming a gate material film; etching the gate material film to form a gate pattern and removing the gate material film on the underlying insulating film to form an alignment mark-forming region; forming an interlayer insulating film; etching the interlayer insulating film to form a contact hole, and a mark hole in the alignment mark-forming region; forming a first conductive film so as to fill the contact hole but not to fill the mark hole; removing the first conductive film outside the contact hole and the mark hole; forming a second conductive film so as not to fill the mark hole; and performing lithographic alignment by taking advantage of a level difference created by a recess left inside the mark hole.
    Type: Application
    Filed: February 18, 2010
    Publication date: September 9, 2010
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Kazushi Suzuki
  • Patent number: 7791161
    Abstract: Structure and method are provided for semiconductor devices. The devices include trenches filled with highly doped polycrystalline semiconductor, extending from the surface into the body of the device for, among other things: (i) reducing substrate current injection, (ii) reducing ON-resistance and/or (iii) reducing thermal impedance to the substrate. For isolated LDMOS devices, the resistance between the lateral isolation wall (tied to the source) and the buried layer is reduced, thereby reducing substrate injection current. When placed in the drain of a lateral device or in the collector of a vertical device, the poly-filled trench effectively enlarges the drain or collector region, thereby lowering the ON-resistance. For devices formed on an oxide isolation layer, the poly-filled trench desirably penetrates this isolation layer thereby improving thermal conduction from the active regions to the substrate. The poly filled trenches are conveniently formed by etch and refill.
    Type: Grant
    Filed: August 25, 2005
    Date of Patent: September 7, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Ronghua Zhu, Vishnu K. Khemka, Amitava Bose
  • Publication number: 20100218613
    Abstract: A semiconductor device includes a substrate including a cavity and a first material layer over at least a portion of sidewalls of the cavity. The semiconductor device includes an oxide layer over the substrate and at least a portion of the sidewalls of the cavity such that the oxide layer lifts off a top portion of the first material layer toward a center of the cavity.
    Type: Application
    Filed: February 27, 2009
    Publication date: September 2, 2010
    Applicant: Infineon Technologies AG
    Inventors: Thoralf Kautzsch, Markus Rochel
  • Patent number: 7786016
    Abstract: A method of substantially uniformly removing silicon oxide is disclosed. The silicon oxide to be removed includes at least one cavity therein or more than one density or strain therein. The silicon oxide having at least one cavity or more than one density or strain is exposed to a gaseous mixture of NH3 and HF and heated, to substantially uniformly remove the silicon oxide. A method of removing an exposed sacrificial layer without substantially removing exposed isolation regions using the gaseous mixture of NH3 and HF and heat is also disclosed, as is an intermediate semiconductor device structure that includes a semiconductor substrate, a sacrificial layer overlying the semiconductor substrate, a diffusion barrier overlying the sacrificial layer, and exposed isolation regions.
    Type: Grant
    Filed: January 11, 2007
    Date of Patent: August 31, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Nishant Sinha, Gurtej S. Sandhu, Joseph N. Greeley
  • Patent number: 7785946
    Abstract: Integrated circuits and methods of manufacture and design thereof are disclosed. For example, a method of manufacturing includes using a first mask to pattern a gate material forming a plurality of first and second features. The first features form gate electrodes of the semiconductor devices, whereas the second features are dummy electrodes. Based on the location of these dummy electrodes, selected dummy electrodes are removed using a second mask. The use of the method provides greater flexibility in tailoring individual devices for different objectives.
    Type: Grant
    Filed: September 25, 2007
    Date of Patent: August 31, 2010
    Assignee: Infineon Technologies AG
    Inventors: Henning Haffner, Manfred Eller, Richard Lindsay
  • Publication number: 20100207284
    Abstract: A method and apparatus includes an integrated circuit device, and at least one alignment mark on the integrated circuit device, the alignment mark comprises a first coded region, a second coded region adjacent the first coded region, and a third coded region adjacent the second coded region, the second coded region located between the first coded region and the third coded region, and markings on the first coded region and the third coded region being identical.
    Type: Application
    Filed: February 19, 2009
    Publication date: August 19, 2010
    Applicant: International Business Machines Corporation
    Inventors: Karen L. Holloway, Holly LaFerrara, Alexander L. Martin, Martin E. Powell, Timothy J. Wiltshire, Roger J. Yerdon
  • Publication number: 20100207230
    Abstract: Provided is a method for fabricating an image sensor device that includes providing a substrate having a front side and a back side; patterning a photoresist on the front side of the substrate to define an opening having a first width, the photoresist having a first thickness correlated to the first width; performing an implantation process through the opening using an implantation energy correlated to the first thickness thereby forming a first doped isolation feature; forming a light sensing feature adjacent to the first doped isolation feature, the light sensing feature having a second width; and thinning the substrate from the back side so that the substrate has a second thickness that does not exceed twice a depth of the first doped isolation feature. A pixel size is substantially equal to the first and second widths.
    Type: Application
    Filed: February 13, 2009
    Publication date: August 19, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Tzu-Hsuan Hsu, Alex Hsu, Ching-Chun Wang
  • Publication number: 20100203701
    Abstract: A design for a crack stop and moisture barrier for a semiconductor device includes a plurality of discrete conductive features formed at the edge of an integrated circuit proximate a scribe line. The discrete conductive features may comprise a plurality of staggered lines, a plurality of horseshoe-shaped lines, or a combination of both.
    Type: Application
    Filed: April 23, 2010
    Publication date: August 12, 2010
    Inventors: Sun-Oo Kim, O Seo Park
  • Patent number: 7772710
    Abstract: A zero-order overlay target comprises a first zero-order line array fabricated on a first layer of a semiconductor structure, the first zero-order line array having a first pitch, and a second zero-order line array fabricated on a second layer of the semiconductor structure, the second zero-order line array having a second pitch. The second pitch may be different from the first pitch, and a portion of the second zero-order line array may be positioned to become optically coupled to a portion of the first zero-order line array when subject to an overlay measurement. Further, the second pitch may be variable. For example, the variable pitch may comprise a first set of features having a pitch approximately equal to the first pitch, a second set of features having a pitch different from the first pitch, and a third set of features having a pitch approximately equal to the first pitch.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: August 10, 2010
    Assignees: Sematech, Inc., National Institute of Standards and Technology
    Inventors: Richard Silver, Pete Lipscomb, Bryan Barnes, Ravikirran Attota
  • Patent number: 7767503
    Abstract: Channel depth in a field effect transistor is limited by an intra-layer structure including a discontinuous film or layer formed within a layer or substrate of semiconductor material. Channel depth can thus be controlled much in the manner of SOI or UT-SOI technology but with less expensive substrates and greater flexibility of channel depth control while avoiding floating body effects characteristic of SOI technology. The profile or cross-sectional shape of the discontinuous film may be controlled to an ogee or staircase shape to improve short channel effects and reduce source/drain and extension resistance without increase of capacitance. Materials for the discontinuous film may also be chosen to impose stress on the transistor channel from within the substrate or layer and provide increased levels of such stress to increase carrier mobility. Carrier mobility may be increased in combination with other meritorious effects.
    Type: Grant
    Filed: June 4, 2008
    Date of Patent: August 3, 2010
    Assignee: International Business Machines Corporation
    Inventors: Huilong Zhu, Philip J. Oldiges, Bruce B. Doris, Xinlin Wang, Oleg Gluschenkov, Huajie Chen, Ying Zhang
  • Patent number: 7767589
    Abstract: According to one embodiment of the disclosure, a method for passivating a circuit device generally includes providing a substrate having a substrate surface, forming an electrical component on the substrate surface, and coating the substrate surface and the electrical component with a first protective dielectric layer. The first protective dielectric layer is made of a generally moisture insoluble material having a moisture permeability less than 0.01 gram/meter2/day, a moisture absorption less than 0.04 percent, a dielectric constant less than 10, a dielectric loss less than 0.005, a breakdown voltage strength greater than 8 million volts/centimeter, a sheet resistivity greater than 1015 ohm-centimeter, and a defect density less than 0.5/centimeter2.
    Type: Grant
    Filed: August 31, 2007
    Date of Patent: August 3, 2010
    Assignee: Raytheon Company
    Inventors: John M. Bedinger, Michael A. Moore, Robert B. Hallock, Kamal Tabatabaie Alavi, Thomas E. Kazior
  • Publication number: 20100187662
    Abstract: A method for forming a silicon film may be performed using a microheater including a substrate and a metal pattern spaced apart from the substrate. The silicon film may be formed on the metal pattern by applying a voltage to the metal pattern of the microheater to heat the metal pattern and by exposing the microheater to a source gas containing silicon. The silicon film may be made of polycrystalline silicon. A method for forming a pn junction may be performed using a microheater including a substrate, a conductive layer on the substrate, and a metal pattern spaced apart from the substrate. The pn junction may be formed between the metal pattern and the conductive layer by applying a voltage to the metal pattern of the microheater to heat the metal pattern. The pn junction may be made of polycrystalline silicon.
    Type: Application
    Filed: July 20, 2009
    Publication date: July 29, 2010
    Inventors: Junhee Choi, Andrei Zoulkarneev
  • Patent number: 7763524
    Abstract: A method for forming an isolation structure in a semiconductor device including a substrate having a first region and a second region, the second region having an isolation structure formed to a larger width than a plurality of isolation structures formed in the first region, is provided. The method includes etching portions of the first and second regions of the substrate to form first and second trenches, wherein a width of the second trench is larger than that of the first trench, forming a first insulation layer to fill a portion of the first and second trenches, forming a barrier layer to fill the first and second trenches, etching portions of the first insulation layer and the barrier layer in the first region, removing the barrier layer, and forming a second insulation layer over the first insulation layer.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: July 27, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Nam-Jae Lee
  • Publication number: 20100184242
    Abstract: Provided is a method of implanting dopant ions to an integrated circuit. The method includes forming a first pixel and a second pixel in a substrate, forming an etch stop layer over the substrate, forming a hard mask layer over the etch stop layer, patterning the hard mask layer to include an opening between the first pixel and the second pixel, and implanting a plurality of dopants through the opening to form an isolation feature.
    Type: Application
    Filed: January 16, 2009
    Publication date: July 22, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Jeng-Shyan Lin, Dun-Nian Yaung, Jen-Cheng Liu, Chun-Chieh Chuang, Pao-Tung Chen, Wen-De Wang, Jyh-Ming Hung
  • Publication number: 20100173469
    Abstract: Some methods are directed to manufacturing charge trap-type non-volatile memory devices. An isolation layer pattern can be formed that extends in a first direction in a substrate. A recess unit is formed in the substrate by recessing an exposed surface of the substrate adjacent to the isolation layer pattern. A tunnel insulating layer and a charge trap layer are sequentially formed on the substrate. The tunnel insulating layer and the charge trap layer are patterned to form an isolated island-shaped tunnel insulating layer pattern and an isolated island-shaped charge trap layer pattern by etching defined regions of the substrate, the isolation layer pattern, the tunnel insulating layer, and the charge trap layer until a top surface of the charge trap layer that is disposed on a bottom surface of the recess unit is aligned with a top surface of the isolation layer pattern.
    Type: Application
    Filed: January 4, 2010
    Publication date: July 8, 2010
    Inventors: Hak-Sun Lee, Kyoung-Sub Shin, Jeong-Dong Choe
  • Publication number: 20100163951
    Abstract: A flash memory device is disclosed including: a device isolation layer and an active area formed on a semiconductor substrate in which a source plate and a bit line area are defined; a memory gate formed over the active area of the bit line area; a control gate formed on the semiconductor substrate including the memory gate; a common source area and a drain area disposed on both sides of the control gate; and a common source line contact formed over the common source area of the semiconductor substrate at the active area of the source plate, wherein the active area of the source plate is formed having the same interval with the active area of the bit line area, and the control gate is formed to cross the source plate and the bit line area disposed at both sides of the source plate.
    Type: Application
    Filed: November 11, 2009
    Publication date: July 1, 2010
    Inventor: Cheon Man Shim
  • Publication number: 20100164051
    Abstract: A semiconductor device includes a semiconductor substrate with an isolation layer formed in the semiconductor substrate to delimit active regions. Recess patterns for gates are defined in the active regions and the isolation layer. Gate patterns are formed in and over the recess patterns for gates, and a gate spacer is formed to cover the gate patterns. The recess patterns for gates have a first depth in the active regions and a second depth, which is greater than the first depth, in the isolation layer. Gaps are created between the gate patterns and upper parts of the recess patterns for gates that are defined in the isolation layer. The gate spacer fills the gaps and protects the gate spacer so as to prevent bridging.
    Type: Application
    Filed: March 5, 2009
    Publication date: July 1, 2010
    Inventors: Kwang Kee CHAE, Jae Seon YU, Jae Kyun LEE
  • Publication number: 20100167491
    Abstract: A method for fabricating a flash memory device includes forming device isolation films in a semiconductor substrate, defining active regions between the device isolation films, and patterning floating gates on the semiconductor substrate to correspond to the active regions. Portions where the active regions and the floating gates are not overlap with one another are within reference offset ranges, respectively.
    Type: Application
    Filed: December 14, 2009
    Publication date: July 1, 2010
    Inventor: Min-Gon Lee
  • Patent number: 7745909
    Abstract: Disclosed are embodiments of a semiconductor structure and method of forming the structure with selectively adjusted reflectance and absorption characteristics in order to selectively control temperature changes during a rapid thermal anneal and, thereby, to selectively control variations in device performance and/or to selectively optimize the anneal temperature of such devices. Selectively controlling the temperature changes in different devices during a rapid thermal anneal is accomplished by selectively varying the isolation material thickness in different sections of a shallow trench isolation structures. Alternatively, it is accomplished by selectively varying the pattern of fill structures in different sections of a semiconductor wafer so that predetermined amounts of shallow trench isolation regions in the different sections are exposed.
    Type: Grant
    Filed: February 26, 2007
    Date of Patent: June 29, 2010
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, Edward J. Nowak
  • Publication number: 20100159702
    Abstract: A first film and a second film are formed on a semiconductor substrate in this order. A resist pattern is formed on the second film. An opening is formed by removing the second film exposed between the resist pattern at a state where the second film remains on the bottom. A first removal preventing film is formed on the side wall of the opening and the residual film is removed at a state where the projecting part of the second film protruding from the side wall to the opening remains. The first film exposed in the opening is removed. A second removal preventing film is formed on the first removal preventing film and the surface of the semiconductor substrate exposed in the opening is removed at a state where the projecting part of the semiconductor substrate protruding from the side wall to the opening remains and a round part is formed at the projecting part of the semiconductor substrate. The semiconductor substrate exposed in the opening is further removed.
    Type: Application
    Filed: March 4, 2010
    Publication date: June 24, 2010
    Applicant: PANASONIC CORPORATION
    Inventors: Masaru YAMADA, Akihiko Tsudumitani
  • Publication number: 20100148295
    Abstract: A semiconductor wafer includes one or more back-illuminated image sensors each formed in a portion of the semiconductor wafer. One or more thinning etch stops are formed in other portions of the semiconductor wafer.
    Type: Application
    Filed: September 23, 2009
    Publication date: June 17, 2010
    Inventors: Frederick T. Brady, Robert M. Guidash
  • Publication number: 20100140724
    Abstract: An embedded MEMS semiconductor substrate is set forth and can be a starting material for subsequent semiconductor device processing. A MEMS device is formed in a semiconductor substrate, including at least one MEMS electrode and a buried silicon dioxide sacrificial layer has been applied for releasing the MEMS. A planarizing layer is applied over the substrate, MEMS device and MEMS electrode. A polysilicon protection layer is applied over the planarizing layer. A polysilicon nitride capping layer is applied over the polysilicon protection layer. A polysilicon seed layer is applied over the polysilicon nitride capping layer. The MEMS device is released by removing at least a portion of the buried silicon dioxide sacrificial layer and an epitaxial layer is grown over the polysilicon seed layer to be used for subsequent semiconductor wafer processing.
    Type: Application
    Filed: December 10, 2008
    Publication date: June 10, 2010
    Applicant: STMicroelectronics, Inc.
    Inventors: Olivier LE NEEL, Peyman Sana, Loi Nguyen, Venkatesh Mohanakrishnaswamy
  • Patent number: 7732889
    Abstract: A semiconductor device comprises an integrated circuit formed on a substrate with a signal interface and at least one isolator capacitor. The integrated circuit comprises a plurality of interleaved inter-metal dielectric layers and interlayer dielectrics formed on the substrate, a thick passivation layer formed on the plurality of the interleaved inter-metal dielectric layers and interlayer dielectrics, and a thick metal layer formed on the thick passivation layer. The thick passivation layer has a thickness selected to be greater than the isolation thickness whereby testing for defects is eliminated. The one or more isolator capacitors comprise the thick metal layer and a metal layer in the plurality of interleaved inter-metal dielectric layers and interlayer dielectrics separated by the thick passivation layer as an insulator.
    Type: Grant
    Filed: May 24, 2007
    Date of Patent: June 8, 2010
    Assignee: Akros Silicon Inc.
    Inventors: Philip John Crawley, Sajol Ghoshal
  • Patent number: 7732271
    Abstract: According to this invention, there is provided a NAND-type semiconductor storage device including a semiconductor substrate, a semiconductor layer formed on the semiconductor substrate, a buried insulating film selectively formed between the semiconductor substrate and the semiconductor layer in a memory transistor formation region, diffusion layers formed on the semiconductor layer in the memory transistor formation region, floating body regions between the diffusion layers, a first insulating film formed on each of the floating body regions, a floating gate electrode formed on the first insulating film, a control electrode on a second insulating film formed on the floating gate electrode, and contact plugs connected to ones of the pairs of diffusion layers which are respectively located at ends of the memory transistor formation region, wherein the ones of the pairs of diffusion layers, which are located at the ends of the memory transistor formation region, are connected to the semiconductor substrate belo
    Type: Grant
    Filed: August 4, 2008
    Date of Patent: June 8, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takeshi Hamamoto, Akihiro Nitayama
  • Patent number: 7723203
    Abstract: A method of forming an alignment key with a capping layer in a semiconductor device without an additional mask formation process, and a method of fabricating a semiconductor device using the same, may be provided. The method of forming an alignment key may include forming an isolation layer confining an active region in a chip region of a semiconductor substrate, and forming an alignment key having a step height difference with respect to the surface of the semiconductor substrate in a scribe lane. An at least one formation layer for forming an element may be formed on the substrate, and patterned, to form an element-forming pattern on the semiconductor substrate in the chip region, and a capping layer capping the alignment key on the semiconductor substrate in the scribe lane.
    Type: Grant
    Filed: September 21, 2006
    Date of Patent: May 25, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Myoung-Soo Kim
  • Publication number: 20100120221
    Abstract: A method for fabricating a semiconductor device includes forming a plurality of pillar structures over a substrate, forming gate electrodes over sidewalls of the pillar structures, forming a sacrificial layer buried between the pillar structures, etching the sacrificial layer and the substrate to form trenches in the substrate, forming first inter-layer insulation patterns buried over the trenches and removing the remaining sacrificial layer at substantially the same time, and forming second inter-layer insulation patterns over the first inter-layer insulation patterns and buried between the pillar structures.
    Type: Application
    Filed: June 26, 2009
    Publication date: May 13, 2010
    Inventor: Sang-Kil Kang
  • Patent number: 7713827
    Abstract: Disclosed herein is a method of making a semiconductor device. According to the method, a flowable oxide (FOX) is deposited over a semiconductor substrate, and a local active region is exposed to grow an active region, by a silicon epitaxial growth (SEG) method, to prevent generation of a void when a device isolation structure is formed by a Shallow Trench Isolation (STI) method, and to prevent formation of stress between the semiconductor substrate and the FOX.
    Type: Grant
    Filed: November 5, 2007
    Date of Patent: May 11, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Yeong Eui Hong
  • Patent number: 7713809
    Abstract: A method and structure for reducing dark current in an image sensor includes preventing unwanted electrons from being collected in the photosensitive region of the image sensor. In one embodiment, dark current is reduced by providing a deep n-type region having an n-type peripheral sidewall formed in a p-type substrate region underlying a pixel array region to separate the pixel array region from a peripheral circuitry region of the image sensor. The method and structure also provide improved protection from blooming.
    Type: Grant
    Filed: October 13, 2006
    Date of Patent: May 11, 2010
    Assignee: Aptina Imaging Corporation
    Inventors: Howard E. Rhodes, Steve Cole
  • Patent number: 7709346
    Abstract: A semiconductor device includes a plurality of gate trenches, each of which has first inner walls, which face each other in a first direction which is perpendicular to a second direction in which active regions extend, and second inner walls, which face each other in the second direction in which the active regions extends. An isolation layer contacts a gate insulating layer throughout the entire length of the first inner walls of the gate trenches including from entrance portions of the gate trenches to bottom portions of the gate trenches, and a plurality of channel regions are disposed adjacent to the gate insulating layers in the semiconductor substrate along the second inner walls and the bottom portions of the gate trenches.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: May 4, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Jin Kim, Kyeong-Koo Chi, Chang-Jin Kang, Hyoung-Sub Kim, Mybong-Cheol Kim, Tae-Rin Chung, Sung-Hoon Chung, Ji-Young Kim
  • Patent number: 7704827
    Abstract: An epitaxial layer is formed on an n+ semiconductor substrate by epitaxial growth. A gate trench is formed to the surface of gate trench so that the bottom of gate trench reaches middle of the epitaxial layer. A gate insulator is formed on the inner wall of gate trench and a polysilicon is formed in the gate trench with the gate insulator interposed therebetween. An HTO film is formed on the surface of the polysilicon and the n? epitaxial layer. At this time, an ion plantation is performed to the epitaxial layer through the HTO film. Hence, a p diffused base layer, an n+ diffused source layer, an n+ diffused source layer is formed. A CVD oxide film is formed on the HTO film. After a BPSG having flowability is deposited on the CVD oxide film, the BPSG film is planarized with a heat treatment of 900-1100 degree Celsius.
    Type: Grant
    Filed: November 13, 2007
    Date of Patent: April 27, 2010
    Assignee: NEC Electronics Corporation
    Inventors: Yoshimitsu Murase, Kenya Kobayashi, Hideo Yamamoto, Atsushi Kaneko
  • Patent number: 7704849
    Abstract: A method of etching trenches into silicon of a semiconductor substrate includes forming a mask over silicon of a semiconductor substrate, with the mask comprising trenches formed there-through. Plasma etching is conducted to form trenches into the silicon of the semiconductor substrate using the mask. In one embodiment, the plasma etching includes forming an etching plasma using precursor gases which include SF6, an oxygen-containing compound, and a nitrogen-containing compound. In one embodiment, the plasma etching includes an etching plasma which includes a sulfur-containing component, an oxygen-containing component, and NFx.
    Type: Grant
    Filed: December 3, 2007
    Date of Patent: April 27, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Krupakar M. Subramanian
  • Patent number: 7704854
    Abstract: The invention relates to a method includes etching at least one shallow trench in at least an SIO layer; forming a dielectric liner at an interface of the SIO layer and the SIO layer; forming a metal or metal alloy layer in the shallow trench on the dielectric liner; and filling the shallow trench with oxide material over the metal or metal alloy.
    Type: Grant
    Filed: May 6, 2008
    Date of Patent: April 27, 2010
    Assignee: International Business Machines Corporation
    Inventors: Robert H. Dennard, Mark C. Hakey, David V. Horak, Sanjay Mehta
  • Publication number: 20100090278
    Abstract: An isolation area (10) is provided over a drift region (12) with a spacing (d) to a contact area (4) provided for a drain connection (D). The isolation area is used as an implantation mask, in order to produce a dopant profile of the drift region in which the dopant concentration increases toward the drain. The implantation of the dopant can be performed instead before the production of the isolation area, and the later production of the isolation area (10) changes the dopant profile also in a way that the dopant concentration increases toward the drain.
    Type: Application
    Filed: October 13, 2009
    Publication date: April 15, 2010
    Applicant: Austriamicrosystems AG
    Inventor: Georg Rõhrer
  • Publication number: 20100090307
    Abstract: A structure obtaining a desired integrated circuit by sticking together a plurality of semiconductor substrates and electrically connecting integrated circuits formed on semiconductor chips of the respective semiconductor substrates is provided, and a penetrating electrode penetrating between a main surface and a rear surface of each of the semiconductor substrates and a penetrating separation portion separating the penetrating electrode are separately arranged. Thereby, after forming an insulation trench portion for formation of the penetrating separation portion on the semiconductor substrate, a MIS·FET is formed, and then, a conductive trench portion for formation of the penetrating electrode can be formed. Therefore, element characteristics of a semiconductor device having a three-dimensional structure can be improved.
    Type: Application
    Filed: August 25, 2006
    Publication date: April 15, 2010
    Inventors: Satoshi Moriya, Toshio Saito, Goichi Yokoyama, Tsuyoshi Fujiwara, Hidenori Sato, Nobuaki Miyakawa
  • Patent number: 7696063
    Abstract: A semiconductor device which has higher integration and is further reduced in thickness and size. A semiconductor device with high performance and low power consumption. A semiconductor element layer separated from a substrate by using a separation layer is stacked over a semiconductor element layer formed by using another substrate and covered with a flattened inorganic insulating layer. After separation of the semiconductor element layer in a top layer from the substrate, the separation layer is removed so that an inorganic insulating film formed under the semiconductor element layer is exposed. The flattened inorganic insulating layer and the inorganic insulating film are made to be in close contact and bonded to each other. In addition, a semiconductor layer included in the semiconductor element layer is a single crystal semiconductor layer which is separated from a semiconductor substrate and transferred to a formation substrate.
    Type: Grant
    Filed: December 1, 2008
    Date of Patent: April 13, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Kaoru Tsuchiya
  • Patent number: 7696601
    Abstract: A semiconductor device and a method for manufacturing the same are provided. A barrier film is formed in a device separating structure, and the device separating structure is etched at a predetermined thickness to expose a semiconductor substrate. Then, a SEG film is grown to form an active region whose area is increased. As a result, a current driving power of a transistor located at a cell region and peripheral circuit regions is improved.
    Type: Grant
    Filed: December 12, 2006
    Date of Patent: April 13, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Young Bog Kim
  • Publication number: 20100084735
    Abstract: A method for forming a seal ring is disclosed. First, a substrate including a MEMS region, a logic region and a seal ring region is provided. Second, a trench is formed in the MEMS region and multiple recesses are formed in the seal ring region. An oxide fills the trench and the recesses. Later, a MOS is form in the logic region and a dielectric layer is formed on the substrate. Then, an etching procedure is carried out to partially remove the dielectric layer and simultaneously remove the oxide in the multiple recesses completely to form a seal ring space. Afterwards, a metal fills the seal ring space to from the seal ring.
    Type: Application
    Filed: October 8, 2008
    Publication date: April 8, 2010
    Inventor: Chin-Sheng Yang
  • Publication number: 20100075480
    Abstract: A method of manufacturing a semiconductor structure is provided. The method includes forming a hard mask pattern on a semiconductor substrate, wherein the hard mask pattern covers active regions; forming a trench in the semiconductor substrate within an opening defined by the hard mask pattern; filling the trench with a dielectric material, resulting in a trench isolation feature; performing an ion implantation to the trench isolation feature using the hard mask pattern to protect active regions of the semiconductor substrate; and removing the hard mask pattern after the performing of the ion implantation.
    Type: Application
    Filed: September 22, 2008
    Publication date: March 25, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ming-Han Liao, Tze-Liang Lee, Ling-Yen Yeh, Mong-Song Liang
  • Patent number: 7682901
    Abstract: A method for fabricating a nonvolatile memory device includes forming a tunneling insulation layer and a conductive layer for a floating gate over a substrate, partially etching the conductive layer, the tunneling insulation layer, and the substrate to form a trench, forming an isolation layer filling a portion of the trench, forming spacers on both sidewalls of the conductive layer not covered by the isolation layer, recessing a portion of the exposed isolation layer using the spacers as an etch barrier layer to form wing spacers, removing the spacers, performing a primary cleaning process on the resulting substrate using a mixed solution of H2SO4 and H2O2 and a mixed solution of NH4OH, H2O2, and H2O, and performing a secondary cleaning process on the resulting structure using a mixed solution of a HF solution and a deionized water and a mixed solution of NH4OH, H2O2, and H2O.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: March 23, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hae-Soo Kim
  • Publication number: 20100059852
    Abstract: A method of fabricating a semiconductor device structure is provided. The method begins by providing a substrate having a layer of semiconductor material, a pad oxide layer overlying the layer of semiconductor material, and a pad nitride layer overlying the pad oxide layer. The method proceeds by selectively removing a portion of the pad nitride layer, a portion of the pad oxide layer, and a portion of the layer of semiconductor material to form an isolation trench. Then, the isolation trench is filled with a lower layer of isolation material, a layer of etch stop material, and an upper layer of isolation material, such that the layer of etch stop material is located between the lower layer of isolation material and the upper layer of isolation material. The layer of etch stop material protects the underlying isolation material during subsequent fabrication steps.
    Type: Application
    Filed: September 11, 2008
    Publication date: March 11, 2010
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventors: Rohit PAL, David BROWN, Scott LUNING
  • Publication number: 20100052192
    Abstract: An electronic element wafer module is provided, in which a transparent support substrate is disposed facing a plurality of electronic elements formed on a wafer and a plurality of wafer-shaped optical elements are disposed on the transparent support substrate, where a groove is formed along a dicing line between the adjacent electronic elements, penetrating from the optical elements through the transparent support substrate, with a depth reaching a surface of the wafer or with a depth short of the surface of the wafer; and a light shielding material is applied on side surfaces and a bottom surface of the groove or is filled in the groove, and the light shielding material is applied or formed on a peripheral portion of a surface of the optical element, except for on a light opening in a center of the surface.
    Type: Application
    Filed: August 12, 2009
    Publication date: March 4, 2010
    Applicant: SHARP KABUSHIKI KAISHA
    Inventors: Masahiro Hasegawa, Aiji Suetake
  • Publication number: 20100055866
    Abstract: A method of forming a transistor in a semiconductor device includes forming device isolation structures in a substrate to define an active region. An oxide-based layer and a nitride-based layer are then formed between the active region and the device isolation structures. A predetermined gate region is etched in the active region to form a recess region. The damage layers are formed by a tilted ion implantation process using neutral elements on portions of the oxide-based layer exposed at the sidewalls of the recess region and other portions of the oxide-based layer below the recess region. The damage layers are then removed, thus causing a portion of the active region exposed at the bottom of the recess region to protrude.
    Type: Application
    Filed: December 26, 2008
    Publication date: March 4, 2010
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Shin-Gyu CHOI
  • Publication number: 20100055865
    Abstract: A method of fabricating a semiconductor device includes forming a hardmask pattern over a substrate, forming a line type first photoresist pattern over the hardmask pattern, etching the hardmask pattern using the first photoresist pattern, removing the first photoresist pattern, forming a line type second photoresist pattern that cross the first photoresist pattern over the hardmask pattern, etching the hardmask pattern using the second photoresist pattern as an etch barrier, removing the second photoresist pattern, forming a trench by etching the substrate using the etched hardmask pattern as an etch barrier, and forming a device isolation region by filling the trench with an insulation layer.
    Type: Application
    Filed: December 24, 2008
    Publication date: March 4, 2010
    Applicant: Hynix Semiconductor Inc.
    Inventors: Byoung-Hwa YOU, Seok-Young YOON
  • Patent number: 7671441
    Abstract: A semiconductor power device includes a semiconductor body with a plurality of gate trenches formed therein. Disposed within each gate trench is a spacer gate that extends along at least a portion of the sidewalls of the gate trench but not along at least a portion of the bottom surface of the trench. The spacer gate of each gate trench may also include a layer of silicide along outer surfaces thereof. The semiconductor body may include a channel region and each gate trench may extend through the channel region and into the semiconductor body. Formed at the bottom of each gate trench within the semiconductor body may be a tip implant of the same conductivity as the semiconductor body. In addition, a deep body implant of the same conductivity as the channel region may be formed at the base of the channel region.
    Type: Grant
    Filed: April 3, 2006
    Date of Patent: March 2, 2010
    Assignee: International Rectifier Corporation
    Inventor: Timothy Henson
  • Patent number: 7670924
    Abstract: Methods are provided for forming a structure that includes an air gap. In one embodiment, a method is provided for forming a damascene structure comprises depositing a porous low dielectric constant layer by a method including reacting an organosilicon compound and a porogen-providing precursor, depositing a porogen-containing material, and removing at least a portion of the porogen-containing material, depositing an organic layer on the porous low dielectric constant layer by reacting the porogen-providing precursor, forming a feature definition in the organic layer and the porous low dielectric constant layer, filing the feature definition with a conductive material therein, depositing a mask layer on the organic layer and the conductive material disposed in the feature definition, forming apertures in the mask layer to expose the organic layer, removing a portion or all of the organic layer through the apertures, and forming an air gap adjacent the conductive material.
    Type: Grant
    Filed: January 22, 2008
    Date of Patent: March 2, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Alexandros T. Demos, Li-Qun Xia, Bok Hoen Kim, Derek R. Witty, Hichem M'Saad
  • Publication number: 20100047979
    Abstract: A nonvolatile memory array includes floating gates that have an inverted-T shape in cross section along a plane that is perpendicular to the direction along which floating cells are connected together to form a string. Adjacent strings are isolated by shallow trench isolation structures.
    Type: Application
    Filed: September 25, 2009
    Publication date: February 25, 2010
    Inventors: Henry Chien, George Matamis, Tuan Pham, Masaaki Higashitani, Hidetaka Horiuchi, Jeffrey W. Lutze, Nima Mokhlesi, Yupin Kawing Fong
  • Publication number: 20100035394
    Abstract: A semiconductor device can be formed without use of an STI process. An insulating layer is formed over a semiconductor body. Portions of the insulating layer are removed to expose the semiconductor body, e.g., to expose bare silicon. A semiconductor material, e.g., silicon, is grown over the exposed semiconductor body. A device, such as a transistor, can then be formed in the grown semiconductor material.
    Type: Application
    Filed: October 14, 2009
    Publication date: February 11, 2010
    Inventors: Jiang Yan, Danny Pak-Chum Shum
  • Publication number: 20100032773
    Abstract: In an embodiment, a semiconductor device is provided. The semiconductor device may include a first diffusion region, a second diffusion region an active region disposed between the first diffusion region and the second diffusion region, a control region disposed above the active region, a first trench isolation disposed laterally adjacent to the first diffusion region opposite to the active region, and a second trench isolation disposed between the second diffusion region and the active region. The second trench isolation may have a smaller depth than the first trench isolation.
    Type: Application
    Filed: August 8, 2008
    Publication date: February 11, 2010
    Inventors: Mayank Shrivastava, Harald Gossner, Ramgopal Rao, Maryam Shojaei Baghini
  • Publication number: 20100032764
    Abstract: A through silicon via structure and a method of fabricating the through silicon via. The method includes: (a) forming a trench in a silicon substrate, the trench open to a top surface of the substrate; (b) forming a silicon dioxide layer on sidewalls of the trench, the silicon dioxide layer not filling the trench; (c) filling remaining space in the trench with polysilicon; after (c), (d) fabricating at least a portion of a CMOS device in the substrate; (e) removing the polysilicon from the trench, the dielectric layer remaining on the sidewalls of the trench; (f) re-filling the trench with an electrically conductive core; and after (f), (g) forming one or more wiring layers over the top surface of the substrate, a wire of a wiring level of the one or more wiring levels closet to the substrate contacting a top surface of the conductive core.
    Type: Application
    Filed: August 8, 2008
    Publication date: February 11, 2010
    Inventors: Paul Stephen Andry, Edmund Juris Sprogis, Cornelia Kang-I Tsang
  • Patent number: 7659180
    Abstract: In one embodiment, a method of fabricating one or more transistors in an integrated circuit includes an annealing step prior to a gate oxidation step. The annealing step may comprise a rapid thermal annealing (RTA) step performed prior to a gate oxidation pre-clean step. Among other advantages, the annealing step reduces a step height difference between P-doped and N-doped regions of a field oxide of a shallow trench isolation structure. The shallow trench isolation structure may be separating a PMOS transistor and an NMOS transistor in the integrated circuit.
    Type: Grant
    Filed: August 26, 2004
    Date of Patent: February 9, 2010
    Assignee: Cypress Semiconductor Corporation
    Inventors: Antoine Khoueir, Maroun Khoury, Andrey Zagrebelny