Differential Fluid Etching Apparatus Patents (Class 156/345.1)
  • Publication number: 20080057725
    Abstract: Disclosed herein a method of manufacturing a semiconductor device, the method including: forming a plurality of layers over a semiconductor substrate having a lower structure including a transistor; forming a photoresist layer over the plurality of layers and patterning the photoresist layer in a contact hole shape; and etching the plurality of layers through a predetermined etching method using the patterned photoresist layer as an etching mask to form a contact hole.
    Type: Application
    Filed: August 29, 2007
    Publication date: March 6, 2008
    Inventor: Sang-Il Hwang
  • Publication number: 20070272355
    Abstract: An apparatus for processing a substrate includes a gas-atmosphere applying unit for applying gas atmosphere to the substrate, and a light-exposure unit for exposing the substrate to light through a lower surface of the substrate.
    Type: Application
    Filed: May 29, 2007
    Publication date: November 29, 2007
    Applicant: NEC LCD TECHNOLOGIES, LTD.
    Inventor: Shusaku KIDO
  • Patent number: 7297286
    Abstract: A method for manufacturing an article having polymeric residue that is to be removed during the manufacture of the article is disclosed. The article is introduced into a controlled environment of a processing tool having one or more processing chambers. Free radicals are generated from one or more reactant gases and introduced into at least one of the one or more processing chambers where they react with the polymeric residue. A cryogenic cleaning medium is supplied into at least one of the one or more processing chambers where the cryogenic cleaning medium removes the polymeric residue present after the free radicals react with the polymeric residue. The reactant gases are selected to facilitate removal of the polymeric residue with the cryogenic cleaning medium. The cryogenic cleaning medium is supplied with a pulsating flow via a nozzle implement that sweeps across the article.
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: November 20, 2007
    Assignee: Nanoclean Technologies, Inc.
    Inventors: Adel George Tannous, Khalid Makhamreh
  • Publication number: 20070238261
    Abstract: A device for locally treating a substrate is disclosed. The device includes an enclosure for forming an enclosed environment at a location on the substrate, a seal for sealing the enclosed environment between the enclosure and the substrate, a supply channel for supplying a chemical reactant to the location, and a removal channel for removing a chemical from the enclosed environment.
    Type: Application
    Filed: April 5, 2006
    Publication date: October 11, 2007
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Johannes Wilhelmus Maria Krikhaar, Rudy Jan Maria Pellens, Arnout Johannes Meester, Hendrikus Wilhelmus Van Zeijl
  • Patent number: 7267742
    Abstract: An etching apparatus includes a chamber containing an etching solution including first and second components and water, a concentration of the water in the etching solution is at a specified level or lower; a circulation path circulating the etching solution; a concentration controller sampling the etching liquid from the circulation path and controls concentrations of the etching solution respectively; and a refilling chemical liquid feeder feeding a refilling chemical liquid including the first component having a concentration higher than the first component in the etching solution.
    Type: Grant
    Filed: August 23, 2004
    Date of Patent: September 11, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hisashi Okuchi, Hiroyasu Iimori, Mami Saito, Yoshihiro Ogawa, Hiroshi Tomita, Soichi Nadahara
  • Patent number: 7267741
    Abstract: Silicon carbide components of a plasma processing apparatus, methods of making the components, and methods of using the components during processing of semiconductor substrates to provide for reduced particle contamination of the substrates are provided. The silicon carbide components are made by a process that results in free-carbon in the components. The silicon carbide components are treated to remove the free-carbon from at least the surface.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: September 11, 2007
    Assignee: Lam Research Corporation
    Inventor: Daxing Ren
  • Patent number: 7255772
    Abstract: A high pressure chamber comprises a chamber housing, a platen, and a mechanical drive mechanism. The chamber housing comprises a first sealing surface. The platen comprises a region for holding the semiconductor substrate and a second sealing surface. The mechanical drive mechanism couples the platen to the chamber housing. In operation, the mechanical drive mechanism separates the platen from the chamber housing for loading of the semiconductor substrate. In further operation, the mechanical drive mechanism causes the second sealing surface of the platen and the first sealing surface of the chamber housing to form a high pressure processing chamber around the semiconductor substrate.
    Type: Grant
    Filed: July 21, 2004
    Date of Patent: August 14, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Maximilian A. Biberger, Frederick Paul Layman, Thomas Robert Sutton
  • Publication number: 20070163711
    Abstract: There is provided an apparatus for treating a substrate using a plurality of treatment solutions in sequence. The apparatus includes treatment liquid collecting vessels for separately collecting used treatment solutions, and an exhaust member for separately discharging pollutant gases generated during a process.
    Type: Application
    Filed: September 21, 2006
    Publication date: July 19, 2007
    Inventors: Kyo-Woog Koo, Jeong-Min Kim
  • Patent number: 7244625
    Abstract: When plasma ashing is performed on a resist on a wafer, deposit gas containing at least one type of deposit component to be generated from a resist by ashing is added to a gas for plasma generation supplied from a gas supply system for plasma generation, by a deposit gas supply system. By this, the deposit component is actively deposited on the inner surface of a wafer processing chamber so as to protect the inner face of the wafer processing chamber from plasma. As a result, damage of the wafer processing chamber during ashing and particle generation due to the damage are prevented.
    Type: Grant
    Filed: February 18, 2005
    Date of Patent: July 17, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Katsuhiko Onishi, Yoji Bito
  • Patent number: 7235153
    Abstract: The present disclosure provides a system for removing a spacer, such as associated with a processing operation using a lightly doped drain (LDD) region. In one example, the system includes means for creating a spacer, means for implanting a first relatively heavily doped region with the spacer in place, one or more chambers for removing the spacer, and means for implanting the LDD region with the spacer removed. The one or more chambers may be configured for applying a first dry removal process to remove the layer on the spacer utilizing a fluorine-contained plasma and applying a second wet etch process to remove the spacer.
    Type: Grant
    Filed: July 19, 2004
    Date of Patent: June 26, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsien-Kuang Chiu, Chih-Hao Wang
  • Patent number: 7225819
    Abstract: The present invention is a method, apparatus and process for an improved substrate mounting and processing technique for various substrate treatments comprising cleaning, dicing, sawing, polishing, and planarization, among others.
    Type: Grant
    Filed: December 7, 2001
    Date of Patent: June 5, 2007
    Inventor: David P Jackson
  • Patent number: 7202176
    Abstract: The present invention pertains to methods for removing unwanted material from a work piece. More specifically, the invention pertains to stripping photo-resist material and removing etch-related residues from a semiconductor wafer during semiconductor manufacturing. Methods involve implementing a hydrogen plasma operation with downstream mixing with an inert gas. The invention is effective at stripping photo-resist and removing residues from low-k dielectric material used in Damascene devices.
    Type: Grant
    Filed: December 13, 2004
    Date of Patent: April 10, 2007
    Assignee: Novellus Systems, Inc.
    Inventors: Haruhiro Harry Goto, David Cheung, Prabhat Kumar Sinha
  • Patent number: 7186651
    Abstract: A method for removing material from the surface of a semiconductor wafer with a chemical mechanical polishing process is described. The method uses a polishing pad on which a line-pattern of grooves is formed. The pattern comprises orderly spaced grooved-area and area without grooves. The method combines information of the surface topography of the wafer, the nature of the material to be removed, and the available groove pattern on the surface of the polishing pad to generate a process recipe in which the resident time of portions of the semiconductor wafer spends at the grooved and un-grooved areas of the polishing pad during the chemical mechanical polishing process is pre-determined.
    Type: Grant
    Filed: October 30, 2003
    Date of Patent: March 6, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Joe G. Tran, Chad J. Kaneshige, Brian K. Kirkpatrick
  • Patent number: 7166170
    Abstract: A method and system for reducing the cost of a vacuum processing system by utilizing separately fabricated parts for the walls and the tops and bottoms of chambers. Walls are formed from cylinders (e.g., aluminum tubing or rolled ring forgings), and plates are then hermetically sealed to the top and bottom of the cylinder. Fasteners (and the vacuum inside the chamber) clamp the plates to the cylinder.
    Type: Grant
    Filed: May 7, 2002
    Date of Patent: January 23, 2007
    Assignee: Tokyo Electron Limited
    Inventor: Steven T. Fink
  • Patent number: 7160812
    Abstract: A method for preventing the deterioration of an electrode caused by the build up of deposits in openings of the electrode. Gas is supplied to each of the openings in order to prevent deposits from adhering to the openings before or after the etching treatment.
    Type: Grant
    Filed: February 11, 2003
    Date of Patent: January 9, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Hideshi Hamada
  • Patent number: 7146248
    Abstract: Mineral processing system performs product movement and processing within a secured room using robotics and computerized automation. A heated chemical processing well provides a hot bath wherein raw mineral is submersed into a separating agent. Used separating agent and residual mineral waste can be automatically disposed of at a chemical dumping station. New separating agent can be automatically loaded into hot baths. Crucibles contain separating agent during mineral processing, and can be used to transport waste from the processing station to the dumping station. A mineral basket can transport and contain mineral during processing. Crucibles and baskets can be made of Inconel, stainless steel, or other material suitable for high temperature processing. A lid/cover includes transducers (e.g., thermal, liquid level) for monitoring processing operations within the well. A separating material delivery port and a vent integrated with the lid/cover that can be used to collect and contain harmful vapors.
    Type: Grant
    Filed: November 5, 2004
    Date of Patent: December 5, 2006
    Inventor: John H. Lent
  • Patent number: 7071114
    Abstract: A method and apparatus for dry etching changes at least one of the effective pumping speed of a vacuum chamber and the gas flow rate to alter the processing of an etching pattern side wall of a sample between first and second conditions. The first and second conditions include the presence or absence of a deposit film, or the presence, absence or shape of a taper angle. Various parameters for controlling the first and second conditions are contemplated.
    Type: Grant
    Filed: April 1, 2003
    Date of Patent: July 4, 2006
    Assignee: Hitachi, Ltd.
    Inventors: Takao Kumihashi, Kazunori Tsujimoto, Shinichi Tachi
  • Patent number: 7066107
    Abstract: A shield system for use in a plasma chamber, such as a source chamber for an ion implantation machine, including a top shield plate configured to be attached with a top interior surface of the plasma chamber; a bottom shield plate configured to be attached with a bottom interior surface of the plasma chamber; and a rear shield plate configured to be attached with a rear interior surface of the plasma chamber, wherein a rear edge of the top shield plate meets a top edge of the rear shield plate, and wherein a rear edge of the bottom shield plate meets a bottom edge of the rear shield plate, such that the top shield plate, the bottom shield plate and the rear shield plate fit together to substantially cover the chamber's interior surfaces, thus reducing depositions on the inside surfaces of the plasma chamber, while the plasma chamber is operating.
    Type: Grant
    Filed: August 28, 2002
    Date of Patent: June 27, 2006
    Assignee: Hynix Semiconductor Manufacturing America Inc.
    Inventor: Brian James Good
  • Patent number: 7056388
    Abstract: A reaction chamber for carrying out substrate coating methods is disclosed, having at least one opening in at least one outer wall in which an HF feedthrough is inserted in a pressure or vacuum tight manner. The reaction chamber is further characterized by a combination of the following features: a support plate with coolant channels, and at least one opening for an HF line; an HF line collar in the zone disposed in the reaction chamber, a first seal on the collar; a first disc from an insulating material between a second seal on the support plate and the first seal on the collar; a thread in the zone outside the reaction chamber, a screw element being screwed onto the thread, all configured to prevent an electrical contact between the HF line and the support plate being established or an arc-over between the HF line and the support plate occurring.
    Type: Grant
    Filed: October 11, 2002
    Date of Patent: June 6, 2006
    Assignee: Aixtron AG
    Inventors: Walter Franken, Gerd Strauch, Johannes Kappeler, Holger Jurgensen
  • Patent number: 7048824
    Abstract: A device (1) for treating objects, in particular silicon wafers (2), with a fluid (3), comprising a container (4) that holds the fluid (3); a rotatable carrying arrangement (5) for accommodation of the objects to be treated, which is disposed at least partially in the container (4); and a rotatably drivable shaft, which is run on at least one bearing (13, 14) and joined to the carrying arrangement (5); with the bearing (13, 14) including a first bearing member (22), which is joined to the shaft, and a second bearing member (24), which adjoins the first bearing member (22) and contains superconductive material; with the first bearing member (22) being kept spaced from the second bearing member (24) by magnetic forces.
    Type: Grant
    Filed: April 13, 2000
    Date of Patent: May 23, 2006
    Assignee: Gebrüder Decker GmbH & Co. KG
    Inventors: Frank Werfel, Jürgen Seeberger
  • Patent number: 7024267
    Abstract: A process for creating aftermarket vehicle gauge faces from a metal is disclosed. The process includes the steps of preparing a metal. The process also includes phototooling a phototool and then coating the metal after which an ultraviolet exposure is used. The process then will develop, and etch the metal to create predetermined letters, lines and characters and shapes on the metal gauge face. Next the gauge face is stripped and washed and a final inspection is used to create the aftermarket automobile gauge faces.
    Type: Grant
    Filed: September 12, 2003
    Date of Patent: April 4, 2006
    Assignee: U.S. Speedo, Inc.
    Inventor: Jamie Howton
  • Patent number: 7017652
    Abstract: A chuck method of and apparatus (50, 150, 300) for supporting a substrate (W) during processing of the substrate, where the substrate has a lower surface (WL). The apparatus facilitates heat transfer away from the substrate during processing of the substrate. The apparatus comprises a chuck body (60) having an outer edge (70) and a rough upper surface (64U). The substrate is arranged adjacent the rough surface such that the substrate lower surface and the roughened upper surface form a gap (100) therebetween. The apparatus further includes a central gas conduit (80) passing through the chuck body. The central conduit has a second end (82b) open to the roughened upper surface and a first end opposite the second end connected to a gas source (86). The conduit is arranged such that a gas can flow through the conduit into the gap and toward the chuck body outer edge. The gas used has an atomic or molecular weight that is greater than that of helium.
    Type: Grant
    Filed: August 13, 2003
    Date of Patent: March 28, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Andrej J. Mitrovic, Lianjun Liu
  • Patent number: 6949147
    Abstract: Apparatus and a method for removing particles from the surface of a substrate include determining respective position coordinates of the particles on the surface. A beam of electromagnetic energy is directed via an optical cleaning arm at the coordinates of each of the particles in turn, such that absorption of the electromagnetic energy at the surface causes the particles to be dislodged from the surface substantially without damage to the surface itself.
    Type: Grant
    Filed: May 13, 2004
    Date of Patent: September 27, 2005
    Assignee: Oramir Semiconductor Equipment Ltd.
    Inventors: Yoram Uziel, David Yogev, Ehud Poles, Amir Wachs
  • Patent number: 6887337
    Abstract: An etching apparatus for etching semi combustion samples may include one or more variable volume expansion chambers, two or more fixed volume expansion chambers, or combinations thereof in fluid communication with an etching chamber and a source of etching gas, such as xenon difluoride. The apparatus may further include a source of a mixing gas. An etching apparatus may also include a source of etching gas, an etching chamber in fluid communication with the source of etching gas, a flow controller connected between the source of etching gas and the etching chamber, and a vacuum pump in fluid communication with the etching chamber. A source for providing a gas by sublimation from a solid material is also provided, including a vacuum tight container and a mesh mounted in the interior of the vacuum tight container, wherein the mesh is adapted to receive and restrain the solid material.
    Type: Grant
    Filed: April 20, 2001
    Date of Patent: May 3, 2005
    Assignee: XACTIX, Inc.
    Inventors: Kyle S. Lebouitz, Michele Migliuolo
  • Patent number: 6863835
    Abstract: A plasma chamber apparatus and method employing a magnet system to block the plasma within the chamber interior from reaching the exhaust pump. An exhaust channel between the chamber interior and the pump includes a magnet and at least one deflector that creates turbulence in the flow of exhaust gases. The magnetic field and the turbulence produced by the deflector both increase the rate of recombination of charged particles in the gases, thereby reducing the concentration of charged particles sufficiently to quench the plasma downstream of the magnet and deflector, thereby preventing the plasma body within the chamber from reaching the exhaust pump. The plasma confinement effect of the magnetic field permits the use of a wider and/or less sinuous exhaust channel than would be required to block the plasma without the magnetic field.
    Type: Grant
    Filed: April 25, 2000
    Date of Patent: March 8, 2005
    Inventors: James D. Carducci, Hamid Noorbakhsh, Evans Y. Lee, Hongqing Shan, Siamak Salimian, Paul E. Luscher, Michael D. Welch
  • Patent number: 6858988
    Abstract: An electrodeless excimer UV lamp, comprising an enclosed chamber with a gas sealed within the enclosed chamber, wherein the gas is capable of being used to generate a plasma discharge, a first electrode wrapped around the outer surface of the chamber at a first location, a second electrode wrapped around the outer surface of the chamber at second location, and a power supply configured to apply a voltage to the first electrode and the second electrode. During operation of the UV lamp, a plasma discharge is generated by applying a voltage to the electrodes wrapped around the outer surface of the chamber to ignite the gas or gas mixture inside the chamber and generate a plasma discharge within the chamber, such that a specific wavelength of UV radiation will be generated by the particular gas within the chamber.
    Type: Grant
    Filed: October 30, 2002
    Date of Patent: February 22, 2005
    Assignee: Old Dominion University Research Foundation
    Inventor: Mounir Laroussi
  • Patent number: 6838405
    Abstract: A plasma-resistant member for a semiconductor manufacturing apparatus, which can reduce the contamination level on a semiconductor wafer. The contents of Fe, Ni, Cr and Cu are made lower than 1.0 ppm respectively within a depth of at least 10 ?m from surface in a plasma-resistant member.
    Type: Grant
    Filed: August 1, 2002
    Date of Patent: January 4, 2005
    Assignee: Toshiba Ceramics Co., Ltd.
    Inventors: Mitsuhiro Fujita, Keiji Morita
  • Patent number: 6838011
    Abstract: The present invention relates to a method and an apparatus for processing PFC, which does not damage a vacuum pump, and in which maintenance and inspection works are readily performed, and an incineration process is not required. The processing apparatus 10 is constructed with a vacuum chamber 12, and a vacuum pump 16, a reaction gas introduction section 17, a plasma process section 18 and a polymer collection section 20 that are successively disposed through a piping 14 in a succeeding stage of the vacuum chamber 12.
    Type: Grant
    Filed: March 13, 2001
    Date of Patent: January 4, 2005
    Assignee: Seiko Epson Corporation
    Inventor: Isamu Namose
  • Publication number: 20040261946
    Abstract: A plasma processing apparatus having a focus ring, enables the efficiency of cooling of the focus ring to be greatly improved, while preventing an increase in cost thereof. The plasma processing apparatus is comprised of a susceptor which has an electrostatic chuck and the focus ring. A wafer W to be subjected to plasma processing is mounted on the electrostatic chuck. The focus ring has a dielectric material portion and a conductive material portion. The dielectric material portion forms a contact portion disposed in contact with the electrostatic chuck. The conductive material portion faces the electrostatic chuck with the dielectric material portion therebetween.
    Type: Application
    Filed: April 21, 2004
    Publication date: December 30, 2004
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shosuke Endoh, Noriyuki Iwabuchi, Shigeaki Kato, Tomoya Okubo, Jun Hirose, Koichi Nagakura, Chishio Koshimizu, Kazuki Denpoh
  • Publication number: 20040256973
    Abstract: To provide a film forming method and a film forming system, which efficiently use materials and forming a high-quality organic thin film, and an electronic device and an electronic apparatus that are manufactured using the method and the device, an organic thin film-forming system includes a solution supplying unit, a gas supplying unit, a soft ionizing unit, and an ion separating unit, a deflecting unit, and a film-forming unit. After organic materials to be converted in film become minute liquid droplets in the soft ionizing unit and the liquid droplets are ionized or charged, the liquid droplets are vaporized and thus pseudo-molecular ions of a vapor state are created. In the ion separating unit, an organic material pseudo-molecular ion is separated from the pseudo-molecular ions.
    Type: Application
    Filed: April 12, 2004
    Publication date: December 23, 2004
    Applicant: Seiko Epson Corporation
    Inventor: Yoichi Imamura
  • Patent number: 6833312
    Abstract: This invention is to support a plate member such as a bonded substrate stack in a horizontal state without coming into contact with one surface of the member and also to efficiently progress separation. Separation is executed while arranging a bonded substrate stack (50) generated by bonding a seed substrate (10) to a handle substrate (20) such that the seed substrate (10) remains on the lower side. At the first stage, the peripheral portion is separated while causing a first substrate support section (101) to chuck and support the central portion of the lower surface of the bonded substrate stack (50). Then, at the second stage, the central portion is separated while causing a second substrate support section (102) to support the lower peripheral portion and side of the bonded substrate stack (50).
    Type: Grant
    Filed: May 23, 2002
    Date of Patent: December 21, 2004
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kazutaka Yanagita, Mitsuharu Kohda, Kiyofumi Sakaguchi, Akira Fujimoto
  • Publication number: 20040253833
    Abstract: A substrate processing apparatus and a substrate processing method are provided wherein an oxide film which is thinner than the conventional films can be formed with uniform thickness when forming an oxide film on the front-side surface of a substrate.
    Type: Application
    Filed: March 3, 2004
    Publication date: December 16, 2004
    Inventors: Orii Takehiko, Masaru Amai
  • Patent number: 6827816
    Abstract: Apparatus and a method for removing particles from the surface of a substrate include determining respective position coordinates of the particles on the surface. A beam of electromagnetic energy is directed via an optical cleaning arm at the coordinates of each of the particles in turn, such that absorption of the electromagnetic energy at the surface causes the particles to be dislodged from the surface substantially without damage to the surface itself.
    Type: Grant
    Filed: November 22, 2000
    Date of Patent: December 7, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Yoram Uziel, David Yogev, Ehud Poles, Amir Wachs
  • Publication number: 20040241998
    Abstract: A system for processing a workpiece includes a process head assembly and a base assembly. The process head assembly has a process head and an upper rotor. The base assembly has a base and a lower rotor. The base and lower rotor have magnets wherein the upper rotor is engageable with the lower rotor via a magnetic force created by the magnets. The engaged upper and lower rotors form a process chamber where a semiconductor wafer is positioned for processing. Process fluids for treating the workpiece are introduced into the process chamber, optionally while the processing head spins the workpiece. Additionally, air flow around and through the process chamber is managed to reduce particle adders on the workpiece.
    Type: Application
    Filed: June 14, 2004
    Publication date: December 2, 2004
    Inventor: Kyle M. Hanson
  • Publication number: 20040229459
    Abstract: Integration of annealing capability into a metal deposition tool or a chemical mechanical polishing (CMP) tool. A wafer processing apparatus includes a metal deposition tool having annealing capability. The metal deposition tool can be an electroplating tool or a chemical vapor deposition tool or other metal deposition tool that deposits metal films, such as copper, onto silicon substrates for integrated circuit manufacturing. An annealing chamber is integrated into the metal deposition tool so that annealing of the metal film can be controlled such that the copper is consistently stabilized in preparation for a chemical mechanical polishing process. Alternatively, an annealing chamber can be integrated into a CMP tool.
    Type: Application
    Filed: March 25, 2004
    Publication date: November 18, 2004
    Inventor: Jick M. Yu
  • Publication number: 20040211514
    Abstract: An apparatus and method for delivering ozone to a workpiece. In one embodiment, fluid is sprayed onto a workpiece placed in an ozone-rich environment. Alternatively, ozone is mixed with the fluid prior to spraying the fluid onto the workpiece. When spraying the fluid, the invention pulses the fluid at desired rates to create a substantially uniform layer of ozone-rich fluid on the workpiece. In another embodiment, the workpiece is also slowly rotated during at least a portion of the time the layer of ozone-rich fluid is applied to the workpiece.
    Type: Application
    Filed: May 17, 2004
    Publication date: October 28, 2004
    Inventors: Kevin J. Torek, Jonathan C. Morgan, Paul A. Morgan
  • Publication number: 20040206451
    Abstract: A high-speed chemical drill (20) for removing portions of a target material (30), comprises: an elongated tube (21) formed of a fuel material; a source (24) of oxidizer; a conduit (26) for establishing a controllable flow of oxidizer from said source through said tube; and a sleeve (28) formed of a material containing chlorine and/or fluorine mounted on said tube; whereby, when said drill is ignited and used to remove portions of a target material, the chlorine and/or fluorine in said sleeve material will react chemically with the target material to form gaseous reaction products.
    Type: Application
    Filed: June 1, 2001
    Publication date: October 21, 2004
    Applicant: Ceramic & Materials Processing, Inc.
    Inventors: Vladimir Hlavacek, Pavol Pranda
  • Publication number: 20040200572
    Abstract: Elements of photomasks may be secured using a liquid bonding material that may be cured in place. In one embodiment, a liquid bonding material may be cured using light exposure. Particularly with hard pellicles, the use of a liquid bonding material that is curable to a relatively rigid adhesion form may be advantageous since the pellicle may be positioned accurately before curing is implemented.
    Type: Application
    Filed: April 8, 2003
    Publication date: October 14, 2004
    Inventors: Edita Tejnil, Emily Y. Shu
  • Publication number: 20040200573
    Abstract: A method of integrating a chip with a topside active optical chip is described. The topside active optical chip has at least one optical laser device, having an active side including an optically active region, a laser cavity having a height, an optically inactive region, a bonding side opposite the active side, and a device thickness. The method involves bonding the optical chip to the electronic chip; applying a substrate to the active side, the substrate having a substrate thickness over the active region in the range of between a first amount and a second amount, and applying an anti-reflection without a special patterning or distinguishing between the at least one optical laser device and any other device. A hybrid electro-optical chip is also described as having an electronic chip; and a topside active optical chip. The hybrid electro-optical chip having been created by one of the methods herein. A module is also described.
    Type: Application
    Filed: March 3, 2004
    Publication date: October 14, 2004
    Inventors: Greg Dudoff, John Trezza
  • Publication number: 20040200574
    Abstract: A method for controlling a process for fabricating integrated devices on a substrate. The method includes ex-situ and in-situ measurements of pre-etch and post-etch dimensions for structures formed on the substrate and uses the results of the measurements to adjust process recipes and to control the operational status of etch and external substrate processing equipment. In one exemplary application, the method is used during a multi-pass process for fabricating a capacitive structure of a trench capacitor.
    Type: Application
    Filed: March 19, 2004
    Publication date: October 14, 2004
    Applicant: Applied Materials, Inc.
    Inventors: Matthew F. Davis, Lei Lian, Barbara Schmidt
  • Publication number: 20040194884
    Abstract: A compact high-pressure process apparatus is provided, which ensures an easy loading of a material to be process to a process chamber, as well as a high reliable operation and a high productivity. For this purpose, an opening 9 is disposed in a pressure vessel 7 including a process chamber 4, and a lid member 10 for closing the opening 9 may be pressed there against by means of a press apparatus 15. In this case, a moving mechanism for moving the lid member 10 relative to the opening 9 in the direction parallel to a contact surface of the lid menber 10 and the pressure vessel 7 is further provided.
    Type: Application
    Filed: April 27, 2004
    Publication date: October 7, 2004
    Applicant: Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd)
    Inventors: Yoshihiko Sakashita, Katsumi Watanabe, Yoichi Inoue, Hideshi Yamane
  • Publication number: 20040194799
    Abstract: There is provided a surface cleaning apparatus and method using plasma to remove a native oxide layer, a chemical oxide layer, and a damaged portion from a silicon substrate surface, and contaminants from a metal surface. By absorbing potential in a grounded grid or baffle between a plasma generator and a substrate, only radicals are passed to the substrate, and HF gas is used as a second processing gas. Thus a native oxide layer, a chemical oxide layer, or a damaged portion formed on the silicon substrate during etching a contact hole is removed and the environment of a chamber is maintained constant by introducing a conditioning gas after each wafer process. Therefore, process uniformity is improved.
    Type: Application
    Filed: April 23, 2004
    Publication date: October 7, 2004
    Inventors: Jeong-Ho Kim, Gil-Gwang Lee
  • Publication number: 20040194883
    Abstract: An apparatus and method for delivering ozone to a workpiece. In one embodiment, fluid is sprayed onto a workpiece placed in an ozone-rich environment. Alternatively, ozone is mixed with the fluid prior to spraying the fluid onto the workpiece. When spraying the fluid, the invention pulses the fluid at desired rates to create a substantially uniform layer of ozone-rich fluid on the workpiece. In another embodiment, the workpiece is also slowly rotated during at least a portion of the time the layer of ozone-rich fluid is applied to the workpiece.
    Type: Application
    Filed: April 22, 2004
    Publication date: October 7, 2004
    Inventors: Kevin J. Torek, Jonathan C. Morgan, Paul A. Morgan
  • Patent number: 6789498
    Abstract: A component of a plasma reactor chamber for processing a semiconductor workpiece, the component being a monolithic ceramic piece formed from a mixture of yttrium aluminum perovskite (YAP) and yttrium aluminum garnet (YAG) formed from a mixture of yttria and alumina powders, the ratio the powders in said mixture being within a range between one ratio at which at least nearly pure yttrium aluminum perovskite is formed and another ratio at which at least nearly pure yttrium aluminum garnet is formed.
    Type: Grant
    Filed: February 27, 2002
    Date of Patent: September 14, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Tony S. Kaushal, Chuong Quang Dam, Daniel Ashkin
  • Patent number: 6786996
    Abstract: The present invention generally provides an improved apparatus and method for removing an edge bead from a substrate. The apparatus includes a processing chamber having an edge bead removal fluid distribution system positioned therein and a substrate support member positioned in the processing chamber proximate the fluid distribution system. The substrate support member generally includes an upper substrate support surface having a plurality of fluid dispensing apertures formed therein, at least three capillary ring support posts radially positioned about a perimeter of the upper substrate support surface, and a annular capillary ring having a planar upper surface rigidly mounted to the capillary ring support posts.
    Type: Grant
    Filed: October 16, 2001
    Date of Patent: September 7, 2004
    Assignee: Applied Materials Inc.
    Inventor: Ramin Emami
  • Patent number: 6776094
    Abstract: Improved methods of forming a patterned self-assembled monolayer on a surface and derivative articles are provided. According to one method, an elastomeric stamp is deformed during and/or prior to using the stamp to print a self-assembled molecular monolayer on a surface. According to another method, during monolayer printing the surface is contacted with a liquid that is immiscible with the molecular monolayer-forming species to effect controlled reactive spreading of the monolayer on the surface. Methods of printing self-assembled molecular monolayers on nonplanar surfaces and derivative articles are provided, as are methods of etching surfaces patterned with self-assembled monolayers, including methods of etching silicon. Optical elements including flexible diffraction gratings, mirrors, and lenses are provided, as are methods for forming optical devices and other articles using lithographic molding.
    Type: Grant
    Filed: October 1, 1998
    Date of Patent: August 17, 2004
    Assignee: President & Fellows of Harvard College
    Inventors: George M. Whitesides, Amit Kumar
  • Publication number: 20040154742
    Abstract: The present invention solves a problem that in a wire bonding process, an inert gas used for prevention of oxidation of a substrate gave rise to shimmer due to the temperature difference during bonding, thereby degrading the precision of pattern recognition. With this invention's bonding device 21 provided with recognition device, a shimmer prevention blow mechanism 31 is disposed between a ring illumination 25 and a working hole 24 and near working hole 24. Though the nitrogen gas that blows out from working hole 24 gives rise to shimmer due to temperature difference, this shimmer can be blown away by the nitrogen gas blow from shimmer prevention blow mechanism 31. As a result, the recognition precision of a recognition camera can be improved and the wire bonding precision of the &mgr;m order can be improved.
    Type: Application
    Filed: February 3, 2004
    Publication date: August 12, 2004
    Applicant: Sanyo Electric Co., Ltd., a Japan corporation
    Inventors: Kouji Seki, Noriyasu Sakai, Toshihiko Higashino
  • Patent number: 6773544
    Abstract: The invention concerns a plasma reactor employing a chamber enclosure including a process gas inlet and defining a plasma processing region. A workpiece support pedestal capable of supporting a workpiece at processing location faces the plasma processing region, the pedestal and enclosure being spaced from one another to define a pumping annulus therebetween having facing walls in order to permit the process of gas to be evacuated therethrough from the process region. A pair of opposing plasma confinement magnetic poles within one of the facing walls of the annulus, the opposing magnetic poles being axially displaced from one another. The magnetic poles are axially displaced below the processing location by a distance which exceeds a substantial fraction of a spacing between the facing walls of the annulus.
    Type: Grant
    Filed: January 31, 2001
    Date of Patent: August 10, 2004
    Inventors: James D. Carducci, Hamid Noorbakhsh, Evans Y. Lee, Hongqing Shan, Siamak Salimian, Paul E. Luscher, Michael D. Welch
  • Publication number: 20040140052
    Abstract: The present invention discloses a method for aligning a key in a semiconductor device, which prevents misalignment in subsequent photo processes during a semiconductor key formation process. The method comprises the steps of: preparing a semiconductor substrate that is divided into a scribe lane region and a main chip region; depositing an oxide film on the semiconductor substrate for forming an align key; forming an area key and a first align key at the same time on the scribe lane region by selectively etching the oxide film by using a N-well ion implantation mask; performing a N-well ion implantation on the region which the oxide film is removed from; and forming a second align key in the area key, whose formation is already finished by removing the oxide film, by a silicon etching method using a P-well mask, upon a N-well process using a P-well ion implantation mask.
    Type: Application
    Filed: December 29, 2003
    Publication date: July 22, 2004
    Inventor: Il-seok Han
  • Patent number: 6764572
    Abstract: A batch-type etching device and a method, which enable a stable process with high reproducibility by preventing contamination of CVD equipment by effectively removing H2O, CH3OH or CH3COOH and by-products adsorbing and remaining on the surface of a semiconductor wafer after etching is completed, are provided. The device comprises a reaction chamber, an exhaust port for evacuating the air inside the reaction chamber, a wafer-supporting boat for supporting at least one batch of semiconductor wafers inside the reaction chamber, a gas inlet port for introducing a reaction gas into the reaction chamber, and a microwave generator. The microwave generator is adapted to introduce microwaves into reaction chamber so that substances which adsorb and remain on the semiconductor wafers are desorbed and removed after etching is completed.
    Type: Grant
    Filed: February 5, 2002
    Date of Patent: July 20, 2004
    Assignee: ASM Japan K.K.
    Inventors: Akira Shimizu, Kunitoshi Nanba