Differential Fluid Etching Apparatus Patents (Class 156/345.1)
  • Publication number: 20110232847
    Abstract: Provided is a doped quartz glass member for plasma etching, which is used in a plasma etching process and is free from any problematic fluoride accumulation during use. The quartz glass member for plasma etching is used as a jig for semiconductor production in a plasma etching process, and includes at least two or more kinds of metal elements in a total amount of 0.01 wt % or more to less than 0.1 wt %, in which the metal elements are formed of at least one kind of a first metal element selected from metal elements belonging to Group 3B of the periodic table and at least one kind of a second metal element selected from the group consisting of Mg, Ca, Sr, Ba, Sc, Y, Ti, Zr, Hf, lanthanoids, and actinoids.
    Type: Application
    Filed: July 24, 2008
    Publication date: September 29, 2011
    Applicants: HERAEUS QUARZGLAS GMBH & CO. KG, SHIN-ETSU QUARTZ PRODUCTS CO., LTD.
    Inventors: Tatsuhiro Sato, Kyoichi Inaki
  • Publication number: 20110226418
    Abstract: In a dry cleaning process, breakage of a gas supply pipe can be prevented, and maintenance efficiency can be increased. There is provided a substrate processing apparatus comprising: a process chamber configured to process a substrate; a heater configured to heat an inside of the process chamber; a gas supply pipe installed in the process chamber; a gas supply system configured to supply at least a cleaning gas to the gas supply pipe to introduce the cleaning gas into the process chamber; and a control unit configured to control the heater and gas supply system with the substrate unloaded from the process chamber to perform heating an inside of the process chamber to generate a crack in a thin film formed inside the process chamber; decreasing an inside temperature of the process chamber after the crack is generated in the thin film; and introducing the cleaning gas into the process chamber by supplying the cleaning gas to the gas supply pipe after the inside temperature of the process chamber is decreased.
    Type: Application
    Filed: June 1, 2011
    Publication date: September 22, 2011
    Inventors: Kiyohisa ISHIBASHI, Yasuhiro Inokuchi, Atsushi Moriya, Yoshiaki Hashiba
  • Publication number: 20110226280
    Abstract: A plasma ashing process for removing photoresist, polymers and/or residues from a substrate comprises placing the substrate including the photoresist, polymers, and/or residues into a reaction chamber; generating a plasma from a gas mixture comprising oxygen gas (O2) and/or an oxygen containing gas; suppressing and/or reducing fast diffusing species in the plasma; and exposing the substrate to the plasma to selectively remove the photoresist, polymers, and/or residues from the substrate, wherein the plasma is substantially free from fast diffusing species.
    Type: Application
    Filed: May 27, 2011
    Publication date: September 22, 2011
    Applicant: AXCELIS TECHNOLOGIES, INC.
    Inventors: Ivan L. Berry, Carlo Waldfried, Shijian Luo, Orlando Escorcia
  • Publication number: 20110220285
    Abstract: Embodiments of the present invention provide methods for forming a hardened and roughened ceramic component. Specific steps include forming a sintered ceramic component, texturing the surface of the sintered ceramic component, and firing the component to harden it. The resulting ceramic component may have a textured surface, and in a specific embodiment, the textured surface has a roughness of about 100 to about 2000 ?in Ra.
    Type: Application
    Filed: February 11, 2011
    Publication date: September 15, 2011
    Applicant: MORGAN ADVANCED CERAMICS, INC.
    Inventors: Cheng-Tsin Lee, Ho Ouk, Gary D. Harland, Edward Tomasek
  • Publication number: 20110220143
    Abstract: A device for cleaning an inner surface of a workpiece includes a beam of radiation, at least one generating unit for generating the beam, a drive unit for rotating the at least one generating unit about an axis of rotation, where the at least one generating unit is configured with a preferential direction towards the surface to be treated. So that an inner surface of the workpiece can be cleaned with short effect times of the beam, it is provided that an arm connected to the at least one generating unit is provided for inserting the generating unit into the workpiece and that the axis of rotation and the preferential direction are inclined relative to one another.
    Type: Application
    Filed: April 3, 2009
    Publication date: September 15, 2011
    Applicant: PlasmaTreat GmbH
    Inventors: Christian Buske, Peter Förnsel
  • Patent number: 8017197
    Abstract: A microwave is radiated into a processing chamber (1) from a planar antenna member of an antenna (7) through a dielectric plate (6). With this, a C5F8 gas supplied into the processing chamber (1) from a gas supply member (3) is changed (activated) into a plasma so as to form a fluorine-containing carbon film of a certain thickness on a semiconductor wafer (W). Each time a film forming process of forming a film on one wafer is carried out, a cleaning process and a pre-coating process are carried out. In the cleaning process, the inside of the processing chamber is cleaned with a plasma of an oxygen gas and a hydrogen gas. In the pre-coating process, the C5F8 gas is changed into a plasma, and a pre-coat film of fluorine-containing carbon thinner than the fluorine-containing carbon film formed in the film forming process is formed.
    Type: Grant
    Filed: November 19, 2004
    Date of Patent: September 13, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Yasuo Kobayashi, Kohei Kawamura
  • Patent number: 8012772
    Abstract: A substrate treating apparatus, in which a voltage is applied to between a treatment electrode and a target substrate in such a state that the treatment electrode is opposed to the target substrate to thereby perform substrate treatment for removing undesired substances on the target substrate, has a reference electrode, a transfer unit which transfers at least one of the treatment electrode and the reference electrode to thereby provide the treatment electrode so that the treatment electrode is opposed to the reference electrode, and a check unit for applying a voltage to between the treatment electrode and the reference electrode in such a state that the treatment electrode is opposed to the reference electrode and thereby checking an adhesion level of undesired substances onto the treatment electrode surface.
    Type: Grant
    Filed: December 24, 2008
    Date of Patent: September 6, 2011
    Assignee: Canon Kabushiki Kaisha
    Inventors: Satoshi Koide, Yasushi Iseki, Akira Ishii
  • Publication number: 20110211817
    Abstract: There is provided a method for heating a part within a processing chamber of a semiconductor manufacturing apparatus having a substrate in the processing chamber and performing a process on the substrate. The heating method includes generating heating lights which is generated by a heating light source provided outside the processing chamber and has a wavelength band capable of passing through a first part in the processing chamber and being absorbed into a second part in the processing chamber made of a material different from that of the first part, and heating the second part in the processing chamber by passing the heating lights through the first part in the processing chamber and irradiating the heating lights to the second part in the processing chamber.
    Type: Application
    Filed: February 25, 2011
    Publication date: September 1, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Jun Yamawaku, Chishio Koshimizu, Tatsuo Matsudo
  • Publication number: 20110209826
    Abstract: The substrate processing system includes a measuring apparatus that measures any of film thickness, a refractive index, an absorption coefficient, and warpage. The system includes an apparatus for performing photolithography on the substrate to form a resist pattern and an etching apparatus that etches a processing film. A control unit includes a first relation between an initial condition and a dimension of the pattern of the processing film and a second relation between a processing condition of the predetermined processing and the dimension of the pattern of the processing film. The control unit estimates a dimension of the pattern of the processing film after the etching treatment from the first relation based on a measurement result and corrects the processing condition of the predetermined processing in the photolithography or the etching from the second relation based on an estimation result of the dimension of the pattern.
    Type: Application
    Filed: May 9, 2011
    Publication date: September 1, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masahide TADOKORO, Kunie Ogata
  • Publication number: 20110203611
    Abstract: Embodiments disclose a method for cleaning a mask having a mask film that is of a surface to which a foreign substance containing silicon oxide adheres. In the method, the mask is retained in a cleaning gas containing diluted hydrofluoric acid vapor at a temperature at which an etching rate to the foreign substance becomes higher than an etching rate to the mask film. Further, in the method, the cleaning gas is supplied to the surface of the mask to etch the foreign substance.
    Type: Application
    Filed: December 10, 2010
    Publication date: August 25, 2011
    Inventors: Eri UEMURA, Makiko KATANO, Yuji YAMADA
  • Publication number: 20110203733
    Abstract: A system and a method for self-aligned dual patterning are described. The system includes a platform for supporting a plurality of process chambers. An etch process chamber coupled to the platform. An ultra-violet radiation photo-resist curing process chamber is also coupled to the platform.
    Type: Application
    Filed: May 3, 2011
    Publication date: August 25, 2011
    Inventor: Christopher Siu Wing Ngai
  • Publication number: 20110207332
    Abstract: A plasma processing apparatus used in semiconductor device manufacturing includes a process kit formed of insulating materials such as quartz and coated with a Y2O3 coating. The Y2O3 coating is a thin film formed using suitable CVD or PVD operations. The Y2O3 coating is resistant to degradation in fluorine etching chemistries commonly used to etch silicon in semiconductor manufacturing. The plasma processing apparatus may be used in etching, stripping and cleaning operations. Also provided in another embodiment is a plasma processing apparatus having a quartz process kit coated with a sapphire-like film.
    Type: Application
    Filed: May 12, 2010
    Publication date: August 25, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsu-Shui LIU, Yeh-Chieh WANG, Jiun-Rong PAI
  • Patent number: 8002894
    Abstract: In a processing apparatus including a diaphragm valve provided on a process gas discharge line for discharging a process gas from a processing chamber and configured to control the internal pressure of the processing chamber by adjusting the opening of the diaphragm valve, an antistatic agent source is connected to the process gas discharge line at a position upstream of the diaphragm valve. Damage of a diaphragm valve element due to spark discharge resulted from electric charge generated by friction between the gas flowing through the diaphragm valve and the diaphragm valve element can be prevented.
    Type: Grant
    Filed: June 1, 2007
    Date of Patent: August 23, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Jiro Higashijima, Naoyuki Okamura, Kousuke Maeda
  • Patent number: 8002896
    Abstract: A shadow frame and framing system for semiconductor fabrication equipment comprising a rectangular frame having four edges, the edges forming an interior lip with a top surface and an bottom engagement surface; and a cross beam disposed between at least two edges of the frame, the cross beam having a top surface and a bottom engagement surface, the engagement surface of the cross beam configured to be flush with the engagement surface of the lip; wherein one or more of the engagement surfaces are configured to cover metal interconnect bonding areas on a carrier disposed below the frame. The shadow frame is particularly useful in plasma enhanced chemical vapor deposition (PECVD) applications used to make active matrix liquid crystal displays (AMLCDs) and solar cells.
    Type: Grant
    Filed: October 11, 2005
    Date of Patent: August 23, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Sakae Tanaka, Qunhua Wang, Sanjay Yadav, Quanyuan Shang, William R. Harshbarger
  • Publication number: 20110198032
    Abstract: According to one embodiment of the present invention, a plasma treatment apparatus comprises: a chamber having an inner space in which processes for an object to be treated are performed; and an antenna which is arranged to cover the side part of the chamber, and which forms electric fields in said inner space to generate plasma from the source gas supplied in the inner space. The antenna includes a helical antenna which is formed into a helical shape from one side of the chamber toward the other side of the chamber along a first rotation direction, and which has a current flowing in the first rotation direction; an extension antenna which is connected to one end of the helical antenna positioned at said one side of the chamber, and which has a current flowing in the direction opposite to the first rotation direction; and a connection antenna for interconnecting the extension antenna and the helical antenna.
    Type: Application
    Filed: October 26, 2009
    Publication date: August 18, 2011
    Inventors: Sang Ho Woo, Il Kwang Yang, Byung Gyu Song
  • Patent number: 7993461
    Abstract: A structure for independently supporting a wafer and a mask in a processing chamber is provided. The structure includes a set of extensions for supporting the wafer and a set of extensions supporting the mask. The set of extensions for the wafer and the set of extensions for the mask enable independent movement of the wafer and the mask. In one embodiment, the extensions are affixed to an annular ring which is capable of moving in a vertical direction within the processing chamber. A processing chamber, a mask, and a method for combinatorially processing a substrate are also provided.
    Type: Grant
    Filed: May 30, 2007
    Date of Patent: August 9, 2011
    Assignee: Intermolecular, Inc.
    Inventors: Rick Endo, Kurt Weiner, James Tsung
  • Patent number: 7993462
    Abstract: A substrate-supporting device has a top surface for placing a substrate thereon composed of a plurality of surfaces separated from each other and defined by a continuous concavity being in gas communication with at least one through-hole passing through the substrate-supporting device in its thickness direction. The continuous concavity is adapted to allow gas to flow in the continuous concavity and through the through-hole under a substrate placed on the top surface.
    Type: Grant
    Filed: March 19, 2008
    Date of Patent: August 9, 2011
    Assignee: ASM Japan K.K.
    Inventor: Satoshi Takahashi
  • Publication number: 20110189446
    Abstract: The invention provides a system and process of patterning structures on a carbon based surface comprising exposing part of the surface to an ion flux, such that material properties of the exposed surface are modified to provide a hard mask effect on the surface. A further step of etching unexposed parts of the surface forms the structures on the surface. The inventors have discovered that by controlling the ion exposure, alteration of the surface structure at the top surface provides a mask pattern, without substantially removing any material from the exposed surface. The mask allows for subsequent ion etching of unexposed areas of the surface leaving the exposed areas raised relative to the unexposed areas thus manufacturing patterns onto the surface. For example, a Ga+ focussed ion beam exposes a pattern onto a diamond surface which produces such a pattern after its exposure to a plasma etch.
    Type: Application
    Filed: July 3, 2009
    Publication date: August 4, 2011
    Applicant: THE PROVOST, FELLOWS AND SCHOLARS OF THE COLLEGE O F THE HOLY AND UNDIVIDED TRINITY OF QUEEN ELIZABE
    Inventors: Graham L. W. Cross, Warren McKenzie, John B. Pethica
  • Publication number: 20110186224
    Abstract: A method and a device for descaling a metal strip, in which the metal strip is guided in a direction of conveyance through at least one plasma descaling unit in which it is subjected to a plasma descaling. The metal strip is subjected to an automatically controlled cooling process in a cooling unit following the plasma descaling in the one or more plasma descaling units in such a way that it has a well-defined temperature downstream of the cooling unit.
    Type: Application
    Filed: April 14, 2011
    Publication date: August 4, 2011
    Applicant: SMS Siemag Aktiengesellschaft
    Inventors: Holger Behrens, Rolf Brisberger, Klaus Frommann, Matthias Kretschmer, Rüdiger Zerbe, Evgeny Stepanovich Senokosov, Andrei Evgenievich Senokosov
  • Patent number: 7987814
    Abstract: A plasma processing chamber has a lower liner with an integrated flow equalizer. In an etching process, the processing gases may be unevenly drawn from the processing chamber which may cause an uneven etching of the substrate. The integrated flow equalizer is configured to equalize the flow of the processing gases evacuated from the chamber via the lower liner.
    Type: Grant
    Filed: April 7, 2008
    Date of Patent: August 2, 2011
    Assignee: Applied Materials, Inc.
    Inventors: James D. Carducci, Andrew Nguyen, Ajit Balakrishna, Michael C. Kutney
  • Publication number: 20110168205
    Abstract: A substrate cleaning method performing cleaning of a surface of a substrate after a pattern on the substrate is formed by plasma etching, includes: a by-product removal process removing a by-product by exposing the substrate to an HF gas atmosphere; and a residual fluorine removal process removing fluorine remaining on the substrate by turning cleaning gas containing hydrogen gas and chemical compound gas containing carbon and hydrogen as constituent elements into plasma to act on the substrate.
    Type: Application
    Filed: January 6, 2011
    Publication date: July 14, 2011
    Applicants: TOKYO ELECTRON LIMITED, KABUSHIKI KAISHA TOSHIBA
    Inventors: Shigeru TAHARA, Fumiko YAMASHITA, Eiichi NISHIMURA, Tokuhisa OHIWA, Takaya MATSUSHITA, Hiroshi TOMITA
  • Patent number: 7976634
    Abstract: A method and apparatus for delivering precursor materials to a processing chamber is described. The apparatus includes a gas distribution assembly having multiple gas delivery zones. Each zone may include a plenum having an inlet for receiving a precursor gas and at least one source of non-thermal energy, such as an infrared light source. The at least one source of non-thermal energy is may be varied to control the intensity of wavelengths from the infrared light source.
    Type: Grant
    Filed: November 8, 2007
    Date of Patent: July 12, 2011
    Assignee: Applied Materials, Inc.
    Inventors: David Keith Carlson, Satheesh Kuppurao, Howard Beckford, Herman Diniz, Kailash Kiran Patalay, Brian Hayes Burrows, Jeffrey Ronald Campbell, Zouming Zhu, Xiaowei Li, Errol Antonio Sanchez
  • Patent number: 7972467
    Abstract: An apparatus configured to confine a plasma within a processing region in a plasma processing chamber. In one embodiment, the apparatus includes a ring that has a baffle having a plurality of slots and a plurality of fingers. Each slot is configured to have a width less than the thickness of a plasma sheath contained in the processing region.
    Type: Grant
    Filed: April 17, 2003
    Date of Patent: July 5, 2011
    Assignee: Applied Materials Inc.
    Inventors: Kallol Bera, Yan Ye, James D. Carducci, Daniel J. Hoffman, Steven C. Shannon, Douglas A. Buchberger, Jr.
  • Patent number: 7972486
    Abstract: A machine for coating a transparent substrate for the production of display screens comprises a coating chamber that has a modular design. Each of the modules 1 features a chamber section 2, a first support 3 that is arranged removably in or at the chamber section 2, and a second support 4 that is arranged removably at the first support 3. Whereas the first support 3 bears the cathodes, the second support 4 is formed as a cover at which are arranged the pumps for producing a vacuum in the coating chamber. Carriers 3 and 4 can be removed laterally from the chamber section 2 to such an extent that areas 11a, 11b accessible to persons can be formed between the module components. In this way, the components of the machine are readily accessible, for example for maintenance purposes. Work can be done simultaneously on the cathodes and in the chamber interior.
    Type: Grant
    Filed: April 7, 2006
    Date of Patent: July 5, 2011
    Assignee: Applied Materials GmbH & Co. KG
    Inventors: Hans Buchberger, Andreas Geiss, Jörg Krempel-Hesse, Dieter Haas
  • Patent number: 7967911
    Abstract: Methods and apparatus are disclosed for the formation of vaporizing liquid precursor materials. The methods or apparatus can be used as part of a chemical vapor deposition apparatus or system, for example for forming films on substrates. The methods and apparatus involve providing a vessel for containing a liquid precursor and diffusing element having external cross-section dimensions substantially equal to the internal cross-sectional dimensions of the vessel.
    Type: Grant
    Filed: April 9, 2007
    Date of Patent: June 28, 2011
    Assignee: Applied Materials, Inc.
    Inventors: David K. Carlson, Errol Antonio C. Sanchez, Satheesh Kuppurao
  • Publication number: 20110146909
    Abstract: Methods for wet cleaning quartz surfaces of components for plasma processing chambers in which semiconductor substrates are processed, such as etch chambers and resist stripping chambers, include contacting the quartz surface with at least one organic solvent, a basic solution and different acid solutions, so as to remove organic and metallic contaminants from the quartz surface. The quartz surface is preferably contacted with one of the acid solutions at least two times.
    Type: Application
    Filed: January 28, 2011
    Publication date: June 23, 2011
    Applicant: Lam Research Corporation
    Inventors: Hong Shih, Tuochuan Huang, Duane Outka, Jack Kuo, Shenjian Liu, Bruno Morel, Anthony Chen
  • Patent number: 7958898
    Abstract: An substrate processing apparatus includes a substrate holding unit, a process liquid supplying unit, a first guide portion provided around the substrate holding unit for guiding the process liquid scattered from the substrate, a second guide portion provided outside the first guide portion for guiding the process liquid scattered from the substrate, a third guide portion provided outside the second guide portion for guiding the process liquid scattered from the substrate, a first recovery channel provided outside the first guide portion integrally with the first guide portion for recovering the process liquid guided by the second guide portion, a second recovery channel provided outside the first recovery channel integrally with the first guide portion for recovering the process liquid guided by the third guide portion, and a driving mechanism for independently moving up and down the first, second, and third guide portions.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: June 14, 2011
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Takeshi Yoshida
  • Patent number: 7959816
    Abstract: The wet-processing apparatus includes a wet-step bath in which a wet-step is carried out, and a vibration-type film separator for separating impurities out of a solution used in the wet-step. The wet-processing apparatus further includes a re-supply path through which the solution out of which the impurities were removed by means of the vibration-type film separator is re-supplied to the wet-step bath. Thus, it is possible to reuse a solution and a material of which a pattern is composed. Since the vibration-type film separator is used, it is possible to reduce a frequency of exchanging filters equipped in the vibration-type film separator, and ensure a high rate at which a material of which a pattern is composed is recovered, regardless of a specific gravity of the material.
    Type: Grant
    Filed: May 26, 2006
    Date of Patent: June 14, 2011
    Assignee: Panasonic Corporation
    Inventor: Takaaki Sato
  • Publication number: 20110132867
    Abstract: A method and apparatus of imprint lithography wherein the method includes depositing a material on a patterned surface of a conductive substrate, and pressing a transparent substrate and the conductive substrate together, wherein the pressing causes the material to conform to the patterned surface. Energy is applied to the material to form patterned material from the material. The transparent substrate and the conductive substrate are separated, wherein the patterned material adheres to the transparent substrate.
    Type: Application
    Filed: October 29, 2010
    Publication date: June 9, 2011
    Applicant: SEAGATE TECHNOLOGY LLC
    Inventors: Henry Hung Yang, Kim Yang Lee, Yautzong Hsu, Shuaigang Xiao, Xiaomin Yang, HongYing Wang, Zhaoning Yu
  • Publication number: 20110124169
    Abstract: Methods for selectively depositing an epitaxial layer are provided herein. In some embodiments, providing a substrate having a monocrystalline first surface and a non-monocrystalline second surface; exposing the substrate to a deposition gas to deposit a layer on the first and second surfaces, the layer comprising a first portion deposited on the first surfaces and a second portion deposited on the second surfaces; and exposing the substrate to an etching gas comprising a first gas comprising hydrogen and a halogen and a second gas comprising at least one of a Group III, IV, or V element to selectively etch the first portion of the layer at a slower rate than the second portion of the layer. In some embodiments, the etching gas comprises hydrogen chloride (HCl) and germane (GeH4).
    Type: Application
    Filed: August 3, 2010
    Publication date: May 26, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: ZHIYUAN YE, SAURABH CHOPRA, YIHWAN KIM
  • Publication number: 20110114601
    Abstract: Embodiments of the present invention generally provide a plasma source apparatus, and method of using the same, that is able to generate radicals and/or gas ions in a plasma generation region that is symmetrically positioned around a magnetic core element by use of an electromagnetic energy source. In general, the orientation and shape of the plasma generation region and magnetic core allows for the effective and uniform coupling of the delivered electromagnetic energy to a gas disposed in the plasma generation region. In general, the improved characteristics of the plasma formed in the plasma generation region is able to improve deposition, etching and/or cleaning processes performed on a substrate or a portion of a processing chamber that is disposed downstream of the plasma generation region.
    Type: Application
    Filed: November 18, 2010
    Publication date: May 19, 2011
    Applicant: Applied Materials, Inc.
    Inventors: Dmitry LUBOMIRSKY, Jang-Gyoo YANG, Matthew MILLER, Jay PINSON, Kien CHUC
  • Publication number: 20110114600
    Abstract: Provided is a coaxial waveguide distributor including a coaxial waveguide which extends non-perpendicularly at a branched portion. A plasma processing apparatus in which a gas is excited by microwaves to plasma process an object to be processed includes a processing container, a microwave source which outputs microwaves, a transmission line which transmits the microwaves output from the microwave source, a plurality of dielectric plates which are provided on an inner wall of the processing container and emit microwaves into the processing container, a plurality of first coaxial waveguides which are adjacent to the plurality of dielectric plates and transmit microwaves to the plurality of dielectric plates, and one stage or two or more stages of a coaxial waveguide distributor which distributes and transmits the microwaves transmitted through the transmission line to the plurality of first coaxial waveguides.
    Type: Application
    Filed: June 3, 2009
    Publication date: May 19, 2011
    Applicants: TOKYO ELECTRON LIMITED, TOHOKU UNIVERSITY
    Inventors: Masaki Hirayama, Tadahiro Ohmi
  • Patent number: 7942968
    Abstract: A catalyst enhanced chemical vapor deposition (CECVD) apparatus is provided in which the showerhead and catalyst support are separated from each other. The CECVD apparatus has excellent spacing between the showerhead, catalyst wire and substrate and can be purged to prevent contaminants from forming on parts functioning at low temperatures. The CECVD apparatus comprises a reaction chamber, a showerhead for introducing reaction gas into the reaction chamber, a catalyst wire for decomposing the reaction gas, a catalyst support for supporting the catalyst wire, a substrate on which the decomposed gas is deposited, and a substrate support for supporting the substrate.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: May 17, 2011
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Hee Cheol Kang, Kazuo Furuno, Han Ki Kim, Myoung Soo Kim
  • Publication number: 20110108524
    Abstract: An arrangement for performing pressure control within a processing chamber substrate processing is provided. The arrangement includes a peripheral ring configured at least for surrounding a confined chamber volume that is configured for sustaining a plasma for etching the substrate during substrate processing. The peripheral ring includes a plurality of slots that is configured at least for exhausting processed byproduct gas from the confined chamber volume during substrate processing. The arrangement also includes a conductive control ring that is positioned next to the peripheral ring and is configured to include plurality of slots. The pressure control is achieved by moving the conductive control ring relative to the peripheral ring such that a first slot on the peripheral ring and a second slot on the conductive control ring are offset with respect to one another in a range of zero offset to full offset.
    Type: Application
    Filed: August 31, 2010
    Publication date: May 12, 2011
    Inventors: Rajinder Dhindsa, Michael C. Kellogg, Babak Kadkhodayan, Andrew D. Bailey, III
  • Publication number: 20110108525
    Abstract: The present invention provides a method and system for manufacturing a microstructure in a photosensitive glass substrate, which include the steps of generating first femtosecond laser pulses by a femtosecond laser source and focusing the first femtosecond laser pulses on a surface or an interior of the photosensitive glass substrate by a focus lens to define a modified region; generating second femtosecond laser pulses by the femtosecond laser source, adjusting a frequency of the second femtosecond laser pulses to be higher than that of the first femtosecond laser pulses by a frequency adjustment unit and an energy adjustment unit; focusing the adjusted second femtosecond laser pulses on the modified region of the photosensitive glass substrate to crystallize a substance of the modified region; and, after crystallization, etching off the crystallized region to obtain the microstructure in the photosensitive glass substrate.
    Type: Application
    Filed: April 28, 2010
    Publication date: May 12, 2011
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Chih-Wei CHIEN, Chung-Wei Cheng, Ping-Xiang Li, Jeng-Shyong Chen
  • Publication number: 20110100553
    Abstract: An arrangement for performing plasma confinement within a processing chamber during substrate processing is provided. The arrangement includes a first peripheral ring positioned next to a secondary peripheral ring. The first peripheral ring surrounds a confined chamber volume that sustains plasma for etching a substrate. The first peripheral ring includes a first plurality of slots for exhausting processed byproduct gas from the confined chamber volume. The second peripheral ring includes a second plurality of slots that is positioned next to the first plurality of slots such that the second plurality of slots does not overlap the first plurality of slots, thereby preventing a direct line-of-sight from within the confined chamber volume to an outside chamber volume (an area outside of the first peripheral ring). The arrangement also includes a manifold connecting the two rings to provide a route for exhausting the processed byproduct gas from the confined chamber volume.
    Type: Application
    Filed: August 31, 2010
    Publication date: May 5, 2011
    Inventors: Rajinder Dhindsa, Akira Koshishi, Alexei Maraktanov
  • Publication number: 20110100552
    Abstract: A radio frequency (RF) ground return arrangement for providing a low impedance RF return path for a RF current within a processing chamber of a plasma processing chamber during processing of a substrate is provided. The RF ground return arrangement includes a set of confinement rings, which is configured to surround a confined chamber volume that is configured for sustaining a plasma for etching the substrate during substrate processing. The RF ground return arrangement also includes a lower electrode support structure. The RF ground return arrangement further includes a RF contact-enabled component, which provides a RF contact between the set of confinement rings and the lower electrode support structure such that the low impedance RF return path facilitates returning the RF current back to an RF source.
    Type: Application
    Filed: August 31, 2010
    Publication date: May 5, 2011
    Inventors: Rajinder Dhindsa, Akira Koshishi, Alexei Maraktanov
  • Publication number: 20110094681
    Abstract: A device for cleaning objects includes, a device for drawing in surrounding air, a device for producing plasma and a device for blowing out a mixture of surrounding air and plasma into the object to be cleaned. The device is used preferably in household applications in the cleaning and sanitization of mattresses, joints and walls.
    Type: Application
    Filed: June 30, 2009
    Publication date: April 28, 2011
    Applicant: Reinhausen Plasma GmbH
    Inventors: Michael Bisges, Thorsten Krüger, Patrick Wichmann, Hans-Jürgen Arning
  • Publication number: 20110094679
    Abstract: An apparatus and a method comprising same for removing metal oxides from a substrate surface are disclosed herein. In one particular embodiment, the apparatus comprises an electrode assembly that has a housing that is at least partially comprised of an insulating material and having an internal volume and at least one fluid inlet that is in fluid communication with the internal volume; a conductive base connected to the housing comprising a plurality of conductive tips that extend therefrom into a target area and a plurality of perforations that extend therethrough and are in fluid communication with the internal volume to allow for a passage of a gas mixture comprising a reducing gas.
    Type: Application
    Filed: December 15, 2010
    Publication date: April 28, 2011
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Chun Christine Dong, Wayne Thomas McDermott, Alexander Schwarz, Gregory Khosrov Arslanian, Richard E. Patrick, Gary A. Orbeck, Donald A. Seccombe, JR.
  • Publication number: 20110088846
    Abstract: A plasma source is disclosed. The plasma source includes: a disc-shaped core; “m” number of hubs, “m” being a positive integer, each hub extending a certain length in a horizontal spiral from the core and the hubs being arranged rotationally symmetrical to each other; and main coils each, in a continuous extension from an end of each of the hubs, winding a/m times in a horizontal spiral followed by a certain length of radial extension, winding a/m times in another horizontal spiral followed by a certain length of radial extension, and continuing this sequence in multiple repeats, and wherein “a” and “m” are positive integers. Therefore, the present disclosure contributes to the uniformity of the process under plasma by inducing uniform magnetic and electric fields presented over all.
    Type: Application
    Filed: June 10, 2009
    Publication date: April 21, 2011
    Applicant: NEST CORP.
    Inventor: Young Kim
  • Publication number: 20110089142
    Abstract: Method and apparatus for treatment of a substrate surface (1) using an atmospheric pressure plasma. The method comprises providing an atmospheric pressure plasma in a treatment space (5) between a first electrode (2) and a second electrode (3), providing a substrate (1) in contact with the first electrode (2) in the treatment space (5), and applying a plasma generating power to the first and second electrode (2, 3). The first electrode (2) has a predefined structure of insulating areas (7) and conductive areas (8) for plasma treatment of surface areas of the substrate (1) corresponding to the areas in contact with the conductive areas (8) of the first electrode (2).
    Type: Application
    Filed: February 19, 2009
    Publication date: April 21, 2011
    Inventors: Bruno Alexander Korngold, Hindrik Willem De Vries, Eugen Aldea
  • Publication number: 20110083806
    Abstract: There is provided a processing apparatus undergoing various treatments using plasma and protected from plasma so as not to be damaged. The processing apparatus is one using plasma and having a protective layer comprising a fluorine-containing elastomer on the whole or a part of a surface exposed to plasma in the processing apparatus.
    Type: Application
    Filed: October 14, 2010
    Publication date: April 14, 2011
    Applicants: Greene, Tweed of Delaware, Inc., Daikin Industries, Ltd.
    Inventors: Tsuyoshi Noguchi, Takafumi Toshimitsu, William Brock Alexander, Seiichi Hirano
  • Patent number: 7922818
    Abstract: A method and apparatus are presented for reducing halide-based contamination within deposited titanium-based thin films. Halide adsorbing materials are utilized within the deposition chamber to remove halides, such as chlorine and chlorides, during the deposition process so that contamination of the titanium-based film is minimized. A method for regenerating the halide adsorbing material is also provided.
    Type: Grant
    Filed: September 1, 2005
    Date of Patent: April 12, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Garo J. Derderian, Cem Basceri, Donald L. Westmoreland
  • Publication number: 20110079580
    Abstract: A method of improving a plasma etch chamber by installing heaters on outer surfaces. A method of improving STI etch. A method of improving STI etch in a Hitachi M700 series etcher.
    Type: Application
    Filed: October 7, 2010
    Publication date: April 7, 2011
    Applicant: Texas Instruments Incorporated
    Inventors: John Christopher Shriner, Kyran Morris, Esequiel Torres
  • Publication number: 20110076848
    Abstract: A seal (40) for sealing an interface between a container and a lid of a process chamber. The seal (40) comprises a metallic or polymeric sealing element (50) and an elastomeric sealing element (60) that are arranged to seal the interface in series, with the metallic or polymeric sealing element (50) being situated to encounter processing activity upstream of the elastomeric sealing element (60).
    Type: Application
    Filed: June 30, 2010
    Publication date: March 31, 2011
    Inventors: Amitava Datta, Peter G. Amos, Dominick G. More, Kenneth W. Cornett, Jeremy Payne
  • Publication number: 20110067814
    Abstract: An improved upper electrode system has a multi-part electrode in which a central portion of the electrode having high wear is replaceable independent of an outer peripheral portion of the electrode. The upper electrode can be used in plasma processing systems for processing semiconductor substrates, such as by etching or CVD. The multi-part upper electrode system is particularly useful for large size wafer processing chambers, such as 300 mm wafer processing chambers for which monolithic electrodes are unavailable or costly.
    Type: Application
    Filed: November 24, 2010
    Publication date: March 24, 2011
    Applicant: Lam Research Corporation
    Inventors: Andreas Fischer, William S. Kennedy, Peter Loewenhardt, David Trussell
  • Publication number: 20110061812
    Abstract: Apparatus and methods for the manufacture of semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof are described herein. Disclosed are various single chambers configured to form and/or shape a material layer by oxidizing a surface of a material layer to form an oxide layer; removing at least some of the oxide layer by an etching process; and cyclically repeating the oxidizing and removing processes until the material layer is formed to a desired shape. In some embodiments, the material layer may be a floating gate of a semiconductor device.
    Type: Application
    Filed: March 10, 2010
    Publication date: March 17, 2011
    Applicant: Applied Materials, Inc.
    Inventors: Udayan Ganguly, Yoshitaka Yokota, Christopher S. Olsen, Matthew D. Scotney-Castle, Vicky Nguyen, Swaminathan Srinivasan, Wei Liu, Johanes F. Swenberg, Jose A. Marin, Aijit Balakrishna, Jacob Newman, Stephen C. Hickerson
  • Publication number: 20110049628
    Abstract: A fin-semiconductor region (13) is formed on a substrate (11). A first impurity which produces a donor level or an acceptor level in a semiconductor is introduced in an upper portion and side portions of the fin-semiconductor region (13), and oxygen or nitrogen is further introduced as a second impurity in the upper portion and side portions of the fin-semiconductor region (13).
    Type: Application
    Filed: January 20, 2010
    Publication date: March 3, 2011
    Inventors: Tomohiro Okumura, Takayuki Kai, Yuichiro Sasaki
  • Publication number: 20110045732
    Abstract: There are executed a coating or attaching process for coating or attaching a grease-like or gel-like material onto a surface of a sealing substrate on a sealed space side and a drying process for drying the grease-like or gel-like material coated or attached onto the sealing substrate. Then, there is executed a laminating process of sealing the peripheral edge portion between the sealing substrate and the element formation substrate by a sealing agent such as an adhesive in such a state that the surface of the sealing substrate onto which the grease-like or gel-like material is coated or attached and the surface of the element formation substrate on which an organic EL element is formed are opposed to each other.
    Type: Application
    Filed: April 28, 2009
    Publication date: February 24, 2011
    Applicant: Yamagata Promotional Organization for Industrial Technology
    Inventors: Joji Suzuki, Fujio Kajikawa, Takashi Kawai
  • Publication number: 20110041872
    Abstract: A system and method for performing rapid chamber cleaning is described. The use of F2 as the source gas for an RPS to form fluorine radicals used in the chamber cleaning operation allows chamber cleaning to proceed at an initial rapid rate without requiring ramp up of the cleaning gas flow. This results in more rapid cleaning and significantly shorter cleaning cycles. This is useful in semiconductor manufacturing, particular, for flat panel displays and solar photo voltaic devices.
    Type: Application
    Filed: February 19, 2009
    Publication date: February 24, 2011
    Inventors: Richard Allen Hogle, Paul Alan Stockman, Partick Helly