Field-effect Transistor (epo) Patents (Class 257/E29.242)

  • Publication number: 20120032239
    Abstract: The present invention relates to CMOS ultra large scale integrated circuits, and provides a method for introducing channel stress and a field effect transistor fabricated by the same. According to the present invention, a strained dielectric layer is interposed between source/drain regions and a substrate of a field effect transistor, and a strain is induced in a channel by the strained dielectric layer which directly contacts the substrate, so as to improve a carrier mobility of the channel and a performance of the device. The specific effects of the invention include: a tensile strain may be induced in the channel by using the strained dielectric layer having a tensile strain in order to increase an electron mobility of the channel; a compressive strain may be induced in the channel by using the strained dielectric layer having a compressive strain in order to increase a hole mobility of the channel.
    Type: Application
    Filed: April 1, 2011
    Publication date: February 9, 2012
    Inventors: Ru Huang, Quanxin Yun, Xia An, Xing Zhang
  • Publication number: 20120032231
    Abstract: A MOS transistor structure with an in-situ doped source and/or drain and a method for forming the same are provided. The method comprises steps of: providing a substrate; forming a high Ge content layer on the substrate; forming a gate stack on the high Ge content layer and forming a side wall of one or more layers on both sides of the gate stack; etching the high Ge content layer to form a source region and/or a drain region; and forming a source and/or a drain in the source region and/or the drain region respectively by a low-temperature selective epitaxy, and introducing a doping gas during the low-temperature selective epitaxy to heavily dope the source and/or the drain and to in-situ activate a doping element.
    Type: Application
    Filed: January 19, 2011
    Publication date: February 9, 2012
    Applicant: TSINGHUA UNIVERSITY
    Inventors: Jing Wang, Lei Guo, Jun Xu
  • Publication number: 20120025274
    Abstract: An SOI substrate having an SOI layer that can be used in practical applications even when a substrate with low upper temperature limit, such as a glass substrate, is used, is provided. A semiconductor device using such an SOI substrate, is provided. In bonding a single-crystal semiconductor layer to a substrate having an insulating surface or an insulating substrate, a silicon oxide film formed using organic silane as a material on one or both surfaces that are to form a bond is used. According to the present invention, a substrate with an upper temperature limit of 700° C. or lower, such as a glass substrate, can be used, and an SOI layer that is strongly bonded to the substrate can be obtained. In other words, a single-crystal semiconductor layer can be formed over a large-area substrate that is longer than one meter on each side.
    Type: Application
    Filed: October 6, 2011
    Publication date: February 2, 2012
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Hideto OHNUMA, Tetsuya KAKEHATA, Yoichi IIKUBO
  • Publication number: 20120025317
    Abstract: A semiconductor device structure and a method for fabricating the same. A method for fabricating semiconductor device structure includes forming gate lines on a semiconductor substrate; forming gate sidewall spacers surrounding the gate lines; forming respective source/drain regions in the semiconductor substrate and on either side of the respective gate lines; forming conductive sidewall spacers surrounding the gate sidewall spacers; and cutting off the gate lines, the gate sidewall spacers and the conductive sidewall spacers at predetermined positions, in which the cut gate lines are electrically isolated gates, and the cut conductive sidewall spacers are electrically isolated lower contacts. The method is applicable to the manufacture of contacts in integrated circuits.
    Type: Application
    Filed: September 27, 2010
    Publication date: February 2, 2012
    Applicant: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Huicai Zhong, Qingqing Liang, Haizhou Yin
  • Publication number: 20120018780
    Abstract: According to one embodiment, a method is disclosed for manufacturing a semiconductor device. A side face parallel to a channel direction of a plurality of gate electrodes provided via a gate insulating film above a semiconductor substrate is included as a part of an inner wall of an isolation groove provided between the adjacent gate electrodes. The method can include forming a protection film covering the side face of the gate electrode. The method can include etching the semiconductor substrate using the gate electrode as a mask to form the isolation groove. The side face of the gate electrode is covered with the protection film. The method can include forming a first insulating film by oxidizing a surface of the isolation groove to fill a bottom portion of the isolation groove. In addition, the method can include forming a second insulating film on the first insulating film to fill an upper portion of the isolation groove including the side face of the gate electrode.
    Type: Application
    Filed: March 30, 2011
    Publication date: January 26, 2012
    Inventors: Kazuaki Iwasawa, Shigeo Kondo, Hiroshi Akahori, Kiyohito Nishihara, Yingkang Zhang, Masaki Kondo, Hidenobu Nagashima, Takashi Ichikawa
  • Publication number: 20120018817
    Abstract: An method of fabricating the gate structure comprises: sequentially depositing and patterning a dummy oxide layer and a dummy gate electrode layer on a substrate; surrounding the dummy oxide layer and the dummy gate electrode layer with a nitrogen-containing dielectric layer and an interlayer dielectric layer; removing the dummy gate electrode layer; removing the dummy oxide layer by exposing a surface of the dummy oxide layer to a vapor mixture comprising NH3 and a fluorine-containing compound at a first temperature; heating the substrate to a second temperature to form an opening in the nitrogen-containing dielectric layer; depositing a gate dielectric; and depositing a gate electrode.
    Type: Application
    Filed: October 4, 2011
    Publication date: January 26, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Matt YEH, Yi-Chen HUANG, Fan-Yi HSU, Ouyang HUI
  • Publication number: 20120018799
    Abstract: A semiconductor device and a method for manufacturing the same are provided. The method includes forming a cell structure where a storage node contact is coupled to a silicon layer formed over a gate, thereby simplifying the manufacturing process of the device. The semiconductor device includes a bit line buried in a semiconductor substrate; a plurality of gates disposed over the semiconductor substrate buried with the bit line; a first plug disposed in a lower portion between the gates and coupled to the bit line; a silicon layer disposed on the upper portion and sidewalls of the gate; and a second plug coupled to the silicon layer disposed over the gate.
    Type: Application
    Filed: December 15, 2010
    Publication date: January 26, 2012
    Applicant: Hynix Semiconductor Inc.
    Inventor: Hyung Jin PARK
  • Publication number: 20120018735
    Abstract: A semiconductor device includes a source electrode and a drain electrode formed on an active region of the semiconductor layer, a gate electrode formed on the active region of the semiconductor layer, a first insulating film formed on the semiconductor layer and covering the gate electrode, the first insulating film having a step portion following a shape of the gate electrode, a first field plate formed on the insulating film and located between the gate electrode and the drain electrode and separated from the step portion, a second insulating film formed on the first insulating film to cover the step portion and the first field plate, and a shield electrode formed on the second insulating film, the shield electrode extending from a portion located above the first field plate and a portion located above the gate electrode.
    Type: Application
    Filed: July 19, 2011
    Publication date: January 26, 2012
    Applicant: SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC.
    Inventor: Kazuaki ISHII
  • Publication number: 20120021918
    Abstract: In one aspect, described herein are field effect chemical sensor devices useful for chemical and/or biochemical sensing. Also provided herein are methods for single molecule detection. In another aspect, described herein are methods useful for amplification of target molecules by PCR.
    Type: Application
    Filed: September 29, 2009
    Publication date: January 26, 2012
    Applicant: Purdue Research Foundation
    Inventors: Rashid Bashir, Ashraf Alam, Demir Akin, Oguz Hasan Elibol, Bobby Reddy, Donald E. Bergstrom, Yi-Shao Liu
  • Publication number: 20120018783
    Abstract: According to one embodiment, a method is disclosed for manufacturing a semiconductor device. A side face parallel to a channel direction of a plurality of gate electrodes provided above a semiconductor substrate is included as a part of an inner wall of an isolation groove provided between the adjacent gate electrodes. The method can include forming a first isolation groove penetrating through a conductive film serving as the gate electrode to reach the semiconductor substrate. The method can include forming a protection film covering a side wall of the first isolation groove including a side face of the gate electrode. The method can include forming a second isolation groove by etching the semiconductor substrate exposed to a bottom surface of the first isolation groove. The method can include oxidizing an inner surface of the second isolation groove provided on each of both sides of the gate electrode to form first insulating films, which are connected to each other under the gate electrode.
    Type: Application
    Filed: May 27, 2011
    Publication date: January 26, 2012
    Inventors: Kazuaki Iwasawa, Shigeo Kondo, Hiroshi Akahori, Kiyohito Nishihara, Yingkang Zhang, Masaki Kondo, Hidenobu Nagashima, Takashi Ichikawa
  • Publication number: 20120018743
    Abstract: A MOSFET includes a silicon carbide substrate including a main surface having an off angle of not less than 50° and not more than 65° with respect to a {0001} plane, a buffer layer and a drift layer formed on the main surface, a gate oxide film formed on and in contact with the drift layer, and a p type body region of a p conductivity type formed in the drift layer to include a region in contact with the gate oxide film. The p type body region has a p type impurity density of not less than 5×1016 cm?3.
    Type: Application
    Filed: July 25, 2011
    Publication date: January 26, 2012
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Toru Hiyoshi, Keiji Wada, Takeyoshi Masuda, Hiromu Shiomi
  • Publication number: 20120012894
    Abstract: A method of forming a transistor over a nitride semiconductor layer includes surface-treating a first region of a nitride semiconductor layer and forming a gate over the first region. Surface-treating the first region can cause the transistor to have a higher intrinsic small signal transconductance than a similar transistor formed without the surface treatment. A portion of the bottom of the gate can be selectively etched. A resulting transistor can include a nitride semiconductor layer having a surface-treated region and a gate formed over or adjacent to the surface-treated region.
    Type: Application
    Filed: June 23, 2011
    Publication date: January 19, 2012
    Applicant: Massachusetts Institute of Technology
    Inventors: Tomas Apostol Palacios, Jinwook Chung
  • Publication number: 20120012905
    Abstract: A semiconductor device is disclosed which includes a silicide substrate, a nitride layer, two STIs, and a strain nitride. The silicide substrate has two doping areas. The nitride layer is deposited on the silicide substrate. The silicide substrate and the nitride layer have a recess running through. The two doping areas are at two sides of the recess. The end of the recess has an etching space bigger than the recess. The top of the silicide substrate has a fin-shaped structure. The two STIs are at the two opposite sides of the silicide substrate (recess). The strain nitride is spacer-formed in the recess and attached to the side wall of the silicide substrate, nitride layer, two STIs. The two doping areas cover the strain nitride. As a result, the efficiency of semiconductor is improved, and the drive current is increased.
    Type: Application
    Filed: October 7, 2010
    Publication date: January 19, 2012
    Applicant: INOTERA MEMORIES, INC.
    Inventors: TZUNG HAN LEE, CHUNG-LIN HUANG, HSIEN-WEN LIU
  • Publication number: 20120012900
    Abstract: A method of manufacturing a semiconductor bio-sensor comprises providing a substrate, forming a first dielectric layer on the substrate, forming a patterned first conductive layer on the first dielectric layer, the patterned first conductive layer including a first portion and a pair of second portions, forming a second dielectric layer, a third dielectric layer and a fourth dielectric layer in sequence over the patterned first conductive layer, forming cavities into the fourth dielectric layer, forming vias through the cavities, exposing the second portions of the patterned first conductive layer, forming a patterned second conductive layer on the fourth dielectric layer, forming a passivation layer on the patterned second conductive layer, forming an opening to expose a portion of the third dielectric layer over the first portion of the patterned first conductive layer, and forming a chamber through the opening.
    Type: Application
    Filed: July 14, 2010
    Publication date: January 19, 2012
    Inventors: Ming-Tung LEE, Shih-Chin LIEN, Chia-Huan CHANG
  • Publication number: 20120012946
    Abstract: A device isolation region is made of a silicon oxide film embedded in a trench, an upper portion thereof is protruded from a semiconductor substrate, and a sidewall insulating film made of silicon nitride or silicon oxynitride is formed on a sidewall of a portion of the device isolation region which is protruded from the semiconductor substrate. A gate insulating film of a MISFET is made of an Hf-containing insulating film containing hafnium, oxygen and an element for threshold reduction as main components, and a gate electrode that is a metal gate electrode extends on an active region, the sidewall insulating film and the device isolation region. The element for threshold reduction is a rare earth or Mg when the MISFET is an n-channel MISFET, and the element for threshold reduction is Al, Ti or Ta when the MISFET is a p-channel MISFET.
    Type: Application
    Filed: June 22, 2011
    Publication date: January 19, 2012
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: Jiro YUGAMI
  • Publication number: 20120012906
    Abstract: A Si—Ge—Si semiconductor structure having double compositionally-graded hetero-structures is provided, comprising: a substrate; a buffer layer or an insulation layer formed on the substrate; a strained SiGe layer formed on the buffer layer or the insulation layer, wherein a Ge content in a central portion of the strained SiGe layer is higher than the Ge content in an upper surface or in a lower surface of the strained SiGe layer, and the Ge content presents a compositionally-graded distribution from the central portion to the upper surface and to the lower surface respectively. According to the present disclosure, a compositionally-graded hetero-structure replaces an abrupt hetero-structure so as to form a triangular hole carrier potential well, so that most of hole carriers may be distributed in the strained SiGe layer with high Ge content and a reduction of the carrier mobility caused by interface scattering may be avoided, thus further improving a performance of a device.
    Type: Application
    Filed: December 31, 2010
    Publication date: January 19, 2012
    Applicant: Tsinghua University
    Inventors: Jing Wang, Jun Xu, Lei Guo
  • Patent number: 8097905
    Abstract: A cascoded junction field transistor (JFET) device comprises a first stage high voltage JFET cascoded to a second stage low voltage JFET wherein one of the first and second stages JFET is connected to a drain electrode of another JFET stage.
    Type: Grant
    Filed: December 2, 2010
    Date of Patent: January 17, 2012
    Assignee: Alpha and Omega Semiconductor Incorporated
    Inventor: Hideaki Tsuchiko
  • Publication number: 20120007092
    Abstract: Disclosed is a method for manufacturing a thin film transistor in which a semiconductor film in a channel portion is provided between a source electrode and a drain electrode, wherein a partition layer (a bank) can be appropriately formed. The method comprises the steps of: forming two underlying electrodes on an underlying layer; forming a partition layer on the surface of the underlying layer containing the two underlying electrodes so as to surround an area where the source electrode and the drain electrode are to be formed; forming the source electrode and the drain electrode by a plating method on the surfaces of the two underlying electrodes, which are surrounded by the partition layer; and applying semiconductor solution, in which a semiconductor material is dissolved or dispersed, to the area surrounded by the partition layer so that a semiconductor film is formed in the area.
    Type: Application
    Filed: March 5, 2010
    Publication date: January 12, 2012
    Inventor: Jun Yamada
  • Publication number: 20120007194
    Abstract: A method of manufacturing N-type MOSFET includes: implanting a p-type dopant into in a surface layer of a semiconductor substrate to form a channel region; forming a gate insulating film including High-k material and a gate electrode on said channel region; implanting a p-type dopant into both ends of said channel region in an inner portion of said semiconductor substrate to form halo regions; implanting a p-type dopant into both ends of said channel region in a surface layer of said semiconductor substrate to form extension regions. One of said step of forming said channel region and said step of forming halo regions includes: implanting C into one of said channel region and said halo regions. An inclusion amount of said High-k material is an amount that increase of a threshold voltage caused by said High-k material being included in said gate insulating film compensates for decrease of said threshold voltage caused by said C being implanted.
    Type: Application
    Filed: July 7, 2011
    Publication date: January 12, 2012
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventors: Akihito SAKAKIDANI, Kiyotaka IMAI
  • Publication number: 20120007155
    Abstract: A method of making a semiconductor device is achieved in and over a semiconductor layer. A trench is formed adjacent to a first active area. The trench is filled with insulating material. A masking feature is formed over a center portion of the trench to expose a first side of the trench between a first side of the masking feature and the first active area. A step of etching into the first side of the trench leaves a first recess in the trench. A first epitaxial region is grown in the first recess to extend the first active area to include the first recess and thereby form an extended first active region.
    Type: Application
    Filed: September 19, 2011
    Publication date: January 12, 2012
    Applicant: FREESCALE SEMICONDUCTOR, INC
    Inventors: MARK D. HALL, Glenn C. Abeln, Chong-Cheng Fu
  • Publication number: 20120001198
    Abstract: An isolation region is provided. The isolation region includes a first groove and an insulation layer filling the first groove. The first groove is embedded into a semiconductor substrate and includes a first sidewall, a bottom surface and a second sidewall that extends from the bottom surface and joins to the first sidewall. An angle between the first sidewall and a normal line of the semiconductor substrate is larger than a standard value. A method for forming an isolation region is further provided. The method includes: forming a first trench on a semiconductor substrate, wherein an angle between a sidewall of the first trench and a normal line of the semiconductor substrate is larger than a standard value; forming a mask on the sidewall to form a second trench on the semiconductor substrate by using the mask; and forming an insulation layer to fill the first and second trenches. A semiconductor device and a method for forming the same are still further provided.
    Type: Application
    Filed: February 18, 2011
    Publication date: January 5, 2012
    Inventors: Haizhou Yin, Huilong Zhu, Zhijiong Luo
  • Publication number: 20120001259
    Abstract: A method includes providing a substrate having a first surface, forming an isolation structure disposed partly in the substrate and having an second surface higher than the first surface by a step height, removing a portion of the isolation structure to form a recess therein having a bottom surface spaced from the first surface by less than the step height, forming a gate structure, and forming a contact engaging the gate structure over the recess. A different aspect involves an apparatus that includes a substrate having a first surface, an isolation structure disposed partly in the substrate and having a second surface higher than the first surface by a step height, a recess extending downwardly from the second surface, the recess having a bottom surface spaced from the first surface by less than the step height, a gate structure, and a contact engaging the gate structure over the recess.
    Type: Application
    Filed: July 2, 2010
    Publication date: January 5, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Harry Hak-Lay Chuang, Bao-Ru Young, Sheng-Chen Chung, Kai-Shyang You, Jin-Aun Ng, Wei Cheng Wu, Ming Zhu
  • Publication number: 20120001230
    Abstract: A multi-gate semiconductor device with inter-gate conductive regions being connected to balance resistors is provided. The multi-gate semiconductor device comprises a substrate, a multilayer structure formed upon the substrate, a first ohmic electrode, a second ohmic electrode, a plural of gate electrodes, at least one conductive region, and at least one resistive component. When put into practice, the multi-gate semiconductor device is advantageous in reducing the voltage drop along the conductive region with a minimal change in device layout, improving the OFF-state linearity while retaining a low insertion loss, and minimizing the area occupied by the resistor and hence the total chip size.
    Type: Application
    Filed: July 2, 2010
    Publication date: January 5, 2012
    Inventor: Shinichiro Takatani
  • Publication number: 20110316087
    Abstract: A MOS transistor has a first stress layer formed over a silicon substrate on a first side of a channel region defined by a gate electrode, and a second stress layer formed over the silicon substrate on a second side of the channel region, the first and second stress layers accumulating a tensile stress or a compressive stress depending on a conductivity type of the MOS transistor. The first stress layer has a first extending part rising upward from the silicon substrate near the channel region along a first sidewall of the gate electrode but separated from the first sidewall of the gate electrode, and the second stress layer has a second extending part rising upward from the silicon substrate near the channel region along a second sidewall of the gate electrode but separated from the second sidewall of the gate electrode. The accumulated stress is the tensile stress if the conductivity type is an n-type, and is a compressive stress if the conductivity type is a p-type.
    Type: Application
    Filed: March 30, 2011
    Publication date: December 29, 2011
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Sergey Pidin
  • Publication number: 20110316080
    Abstract: There is provided a fin transistor structure and a method of fabricating the same. The fin transistor structure comprises a fin formed on a semiconductor substrate, wherein an insulation material is formed between a portion of the fin serving as the channel region of the transistor structure and the substrate, and a bulk semiconductor material is formed between remaining portions of the fin and the substrate. Thereby, it is possible to reduce the current leakage while maintaining the advantages such as low cost and high heat transfer.
    Type: Application
    Filed: June 24, 2010
    Publication date: December 29, 2011
    Applicant: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Zhijiong Luo, Huilong Zhu, Haizhou Yin
  • Publication number: 20110316095
    Abstract: A semiconductor device includes a silicon substrate, an SiO film, and a High-K film. The SiO film is first formed on the silicon substrate and then subjected to a nitridation process to obtain an SiON film from the SiO film. The nitridation process is performed such that nitrogen concentration in the SiO film decreases from an interface with the silicon substrate below and an interface with the High-K film above, and nitrogen having predetermined concentration or more is introduced in a thickness within a range of 0.2 nm to 1 nm from the interface with the silicon substrate. The SiON film is etched up to a depth to which nitrogen of the predetermined concentration or more is introduced. The High-K film is then formed on the SiON film.
    Type: Application
    Filed: August 31, 2011
    Publication date: December 29, 2011
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Takashi Shimizu
  • Publication number: 20110316565
    Abstract: A Schottky junction silicon nanowire field-effect biosensor/molecule detector with a nanowire thickness of 10 nanometer or less and an aligned source/drain workfunction for increased sensitivity. The nanowire channel is coated with a surface treatment to which a molecule of interest absorbs, which modulates the conductivity of the channel between the Schottky junctions sufficiently to qualitatively and quantitatively measure the presence and amount of the molecule.
    Type: Application
    Filed: June 29, 2010
    Publication date: December 29, 2011
    Applicant: International Business Machines Corp.
    Inventors: Dechao Guo, Christian Lavoie, Christine Qiqing Ouyang, Yanning Sun, Zhen Zhang
  • Publication number: 20110316045
    Abstract: A FET includes a substrate, a buffer layer disposed on the substrate, a channel layer disposed over the buffer layer and a barrier layer disposed over the channel layer. Source, gate and drain electrodes are located over the barrier layer and extend in a longitudinal direction thereon. A portion of the channel and barrier layers define a mesa extending in the longitudinal direction and the source and drain electrodes extend beyond an edge of the mesa. The gate electrodes extend along an edge sidewall of the mesa. A conductive source interconnect is disposed over the buffer layer and have a first end electrically connected to the source electrode. A first dielectric layer is disposed over the buffer layer and over the source interconnect. A gate via is formed in the first dielectric layer. A conductive gate node extends along the buffer layer and electrically connects the portion of the gate electrode extending along the sidewall of the mesa.
    Type: Application
    Filed: June 23, 2010
    Publication date: December 29, 2011
    Applicant: VELOX SEMICONDUCTOR CORPORATION
    Inventors: Linlin LIU, Milan POPHRISTIC, Boris Peres
  • Publication number: 20110309457
    Abstract: Methods of providing a semiconductor device with a control electrode structure having a controlled overlap between control electrode and first and second main electrode extensions without many spacers are disclosed. A preferred method provides a step of etching back an insulating layer performed after amorphizing and implanting the main electrode extensions. Preferably, the step that amorphizes the extensions also partly amorphizes the insulating layer. Because etch rates of amorphous insulator and crystalline insulator differ, the amorphized portion of the insulating layer may serve as a natural etch stop to enable even better fine-tuning of the overlap. Corresponding semiconductor devices are also provided.
    Type: Application
    Filed: June 23, 2011
    Publication date: December 22, 2011
    Applicants: Koninkiijke Philips Electronics N.V., Interuniversitair Microelektronica centrum (IMEC)
    Inventors: Kirklen Henson, Radu Catalin Surdeanu
  • Publication number: 20110309444
    Abstract: This Cu alloy sputtering target includes, in terms of atomic percent: Al: 1% to 10%; and Ca: 0.1% to 2%, with the balance being Cu and 1% or less of inevitable impurities. This thin film transistor includes: a gate electrode layer joined to the surface of a glass substrate through an adhesion layer; a gate insulating layer; a Si semiconductor layer; an n-type Si semiconductor layer; a barrier layer; a wire layer composed of a drain electrode layer and a source electrode layer, both of which are mutually divided; a passivation layer; and a transparent electrode layer, wherein the barrier layer is formed by sputtering under an oxidizing atmosphere using the Cu alloy sputtering target.
    Type: Application
    Filed: October 22, 2009
    Publication date: December 22, 2011
    Applicants: ULVAC, Inc., MITSUBISHI MATERIALS CORPORATION
    Inventors: Kazunari Maki, Kenichi Yaguchi, Yosuke Nakasato, Satoru Mori
  • Publication number: 20110303951
    Abstract: The present application discloses a semiconductor device and a method for manufacturing the same. The semiconductor device comprises a semiconductor substrate; a first semiconductor layer on the semiconductor substrate; a second semiconductor layer surrounding the first semiconductor layer; a high k dielectric layer and a gate conductor formed on the first semiconductor layer; source/drain regions formed in the second semiconductor layer, wherein the second semiconductor layer has a slant sidewall in contact with the first semiconductor layer. The semiconductor device has an increased output current, an increased operating speed, and a reduced power consumption due to the channel region of high mobility.
    Type: Application
    Filed: September 25, 2010
    Publication date: December 15, 2011
    Applicant: Insititute of Microelectronics, Chinese Academy of Sciences
    Inventors: Huilong Zhu, Qingqing Liang, Zhijiong Luo, Haizhou Yin
  • Publication number: 20110303972
    Abstract: A semiconductor device manufacturing method of an embodiment includes the steps of: forming a first insulating layer on a semiconductor substrate; forming on the first insulating layer an amorphous or polycrystalline semiconductor layer having a narrow portion; forming on the semiconductor layer a second insulating layer having a thermal expansion coefficient larger than that of the semiconductor layer; performing thermal treatment; removing the second insulating layer; forming a gate insulating film on the side faces of the narrow portion; forming a gate electrode on the gate insulating film; and forming a source-drain region in the semiconductor layer.
    Type: Application
    Filed: April 7, 2011
    Publication date: December 15, 2011
    Inventors: Masumi SAITOH, Toshinori Numata, Yukio Nakabayashi
  • Publication number: 20110298021
    Abstract: A method for manufacturing a semiconductor device, includes: forming an insulating film containing silicon, oxygen and carbon on at least one of a first substrate and a second substrate; and bonding the first substrate and the second substrate together, with the insulating film interposed therebetween. There can be provided a method capable of manufacturing a semiconductor device having high element density, high performance and high reliability, with high yield.
    Type: Application
    Filed: January 14, 2010
    Publication date: December 8, 2011
    Applicant: NEC CORPORATION
    Inventors: Munehiro Tada, Hiromitsu Hada
  • Publication number: 20110297939
    Abstract: An object is to provide a semiconductor device having a novel structure with a high degree of integration. A semiconductor device includes a semiconductor layer having a channel formation region, a source electrode and a drain electrode electrically connected to the channel formation region, a gate electrode overlapping with the channel formation region, and a gate insulating layer between the channel formation region and the gate electrode. A portion of a side surface of the gate insulating layer and a portion of a side surface of the source electrode or the drain electrode are substantially aligned with each other when seen from a planar direction.
    Type: Application
    Filed: May 27, 2011
    Publication date: December 8, 2011
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventor: Kiyoshi KATO
  • Publication number: 20110298050
    Abstract: There is provided a fin transistor structure and a method of fabricating the same. The fin transistor structure comprises a fin formed on a semiconductor substrate, wherein a bulk semiconductor material is formed between a portion of the fin serving as the channel region of the transistor structure and the substrate, and an insulation material is formed between remaining portions of the fin and the substrate. Thereby, it is possible to reduce the current leakage while maintaining the advantages of body-tied structures.
    Type: Application
    Filed: June 25, 2010
    Publication date: December 8, 2011
    Applicant: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Zhijiong Luo, Haizhou Yin, Huilong Zhu
  • Publication number: 20110298020
    Abstract: A semiconductor device, wherein a first metallic member is bonded to a first electrode of a semiconductor element via a first metallic body containing a first precious metal, and a second metallic member is bonded to a second electrode via a second metallic body containing a second precious metal.
    Type: Application
    Filed: July 25, 2011
    Publication date: December 8, 2011
    Inventors: Ryoichi KAJIWARA, Masahiro Koizumi, Toshiaki Morita, Kazuya Takahashi, Munehisa Kishimoto, Shigeru Ishii, Toshinori Hirashima, Yasushi Takahashi, Toshiyuki Hata, Hiroshi Sato, Keiichi Ookawa
  • Publication number: 20110291206
    Abstract: A semiconductor device and a method of manufacturing a gate stack for such a semiconductor device. The device includes a gate stack that has a gate insulation layer provided over a channel region of the device, and a metal layer that is insulated from the channel region by the gate insulation layer. The metal layer contains work function modulating impurities which have a concentration profile that varies along a length of the metal layer from the source region to the drain region. The gate stack has a first effective work function in the vicinity of a source region and/or the drain region of the device and a second, different effective work function toward a centre of the channel region.
    Type: Application
    Filed: November 23, 2009
    Publication date: December 1, 2011
    Inventors: Markus Mueller, Raghunath Singanamalla
  • Publication number: 20110291075
    Abstract: Disclosed is a carbon nanotube field effect transistor which stably exhibits excellent electrical conduction properties. Also disclosed are a method for manufacturing the carbon nanotube field effect transistor, and a biosensor comprising the carbon nanotube field effect transistor. First of all, an silicon oxide film is formed on a contact region of a silicon substrate by an LOCOS method. Next, an insulating film, which is thinner than the silicon oxide film on the contact region, is formed on a channel region of the silicon substrate. Then, after arranging a carbon nanotube, which forms a channel, on the silicon substrate, the carbon nanotube is covered with a protective film. Finally, a source electrode and a drain electrode are formed, and the source electrode and the drain electrode are electrically connected to the carbon nanotube, respectively.
    Type: Application
    Filed: December 25, 2009
    Publication date: December 1, 2011
    Inventors: Agus Subagyo, Motonori Nakamura, Tomoaki Yamabayashi, Osamu Takahashi, Hiroaki Kikuchi, Katsunori Kondo
  • Publication number: 20110291190
    Abstract: A system and method for integrated circuits with surrounding gate structures are disclosed. The integrated circuits system includes a transistor having a gate all around cylindrical (GAAC) nanowire channel with an interposed dielectric layer. The cylindrical nanowire channel being in a middle section of a semiconductor wire pattern connects the source and drain region positioned at the two opposite end sections of the same wire pattern.
    Type: Application
    Filed: September 28, 2010
    Publication date: December 1, 2011
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: De Yuan Xiao, Guo Qing Chen, Roger Lee, Chin Fu Yen, Su Xing, Xiao Lu Huang, Yong Sheng Yang
  • Publication number: 20110292723
    Abstract: The present invention discloses a DRAM cell utilizing floating body effect and a manufacturing method thereof. The DRAM cell includes a P type semiconductor region provided on a buried oxide layer, an N type semiconductor region provided on the P type semiconductor region, a gate region provided on the N type semiconductor region, and an electrical isolation region surrounding the P type semiconductor region and the N type semiconductor region. A diode of floating body effect is taken as a storage node. Via a tunneling effect between bands, electrons gather in the floating body, which is defined as a first storage state; via forward bias of PN junction, electrons are emitted out from the floating body or holes are injected into the floating body, which is defined as a second storage state.
    Type: Application
    Filed: July 14, 2010
    Publication date: December 1, 2011
    Applicant: SHANGHAI INSTITUTE OF MICROSYSTEM AND INFORMATION TECHNOLOGY CHINESE ACADEMY
    Inventors: Deyuan Xiao, Xiaolu Huang, Jing Chen, Xi Wang
  • Publication number: 20110291180
    Abstract: Angled ion implants are utilized to form doped regions in a semiconductor pillar formed in an opening of a mask. The pillar is formed to a height less than the height of the mask. Angled ion implantation can be used to form regions of a semiconductor device such as a body tie region, a halo region, or current terminal extension region of a semiconductor device implemented with the semiconductor pillar.
    Type: Application
    Filed: May 25, 2010
    Publication date: December 1, 2011
    Inventor: Mark D. Hall
  • Publication number: 20110291068
    Abstract: A semiconductor device is provided and includes a conductive substrate, an insulating film formed on the conductive substrate, a base layer including amino groups, and a reduced graphene oxide layer formed on the base layer.
    Type: Application
    Filed: May 26, 2011
    Publication date: December 1, 2011
    Applicant: SONY CORPORATION
    Inventor: Toshiyuki Kobayashi
  • Publication number: 20110294444
    Abstract: A small switching device capable of implementing low-distortion characteristics formed on a semiconductor substrate to switch radio frequency signal paths is provided. An FET which is an example of switching device formed on a semiconductor substrate 109 includes two source/drain electrodes each of which is comb-shaped, at least two gate electrodes meandering between the two source/drain electrodes, and a conductive layer interposed between adjacent gate electrodes along the adjacent gate electrodes, in which a layer immediately underneath straight-line portions of the gate electrode is electrically separated from a layer immediately underneath angled portions of the gate electrode, each of the straight-line portions being in parallel with each of teeth of said two source/drain electrodes, and each of the angled portions connecting a pair of adjacent straight-line portions.
    Type: Application
    Filed: May 23, 2011
    Publication date: December 1, 2011
    Applicant: PANASONIC CORPORATION
    Inventor: Hiroaki KAWANO
  • Publication number: 20110291191
    Abstract: The present invention discloses a MOS structure with suppressed floating body effect including a substrate, a buried insulation layer provided on the substrate, and an active area provided on the buried insulation layer comprising a body region, a first conductive type source region and a first conductive type drain region provided on both sides of the body region respectively and a gate region provide on top of the body region, wherein the active area further comprises a highly doped second conductive type region between the first conductive type source region and the buried insulation layer. For manufacturing this structure, implant ions into a first conductive type source region via a mask having an opening thereon forming a highly doped second conductive type region under the first conductive type source region and above the buried insulation layer. The present invention will not increase chip area and is compatible with conventional CMOS process.
    Type: Application
    Filed: July 14, 2010
    Publication date: December 1, 2011
    Applicant: SHANGHAI INSTITUTE OF MICROSYSTEM AND INFORMATION TECHNOLOGY, CHINESE ACADEMY
    Inventors: Jing Chen, Jiexin Luo, Qingqing Wu, Xiaolu Huang, Xi Wang
  • Publication number: 20110291203
    Abstract: A semiconductor device according to an embodiment of the present invention includes a active region, a drain electrode, a source electrode, a gate electrode, a passivation layer, a source field plate, and a electrical connection. The active region is formed on a semiconductor substrate. The drain electrode, the source electrode, and the gate electrode are formed on a surface of the active region to be separated from each other. The passivation layer is formed on a surface of the active region between the drain electrode and the source electrode to cover the gate electrode. The source field plate is formed at least at a position including an upper portion of the drain-side end portion of the gate electrode on a surface of the passivation layer. The electrical connection is formed on the passivation layer to connect the source field plate and the source electrode. The electrical connection has a width of the electrical connection smaller than electrode widths of the source field plate and the source electrode.
    Type: Application
    Filed: February 8, 2011
    Publication date: December 1, 2011
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Akio MIYAO
  • Publication number: 20110284936
    Abstract: A semiconductor device and a method of fabricating a semiconductor device. The semiconductor device includes an interlayer insulation layer pattern, a metal wire pattern exposed by a passage formed by a via hole formed in the interlayer insulation layer pattern to input and output an electrical signal, and a plated layer pattern directly contacting the metal wire pattern and filling the via hole. The method includes forming an interlayer insulation layer having a metal wire pattern to input and output an electrical signal formed therein, forming a via hole to define a passage that extends through the interlayer insulation layer until at least a part of the metal wire pattern is exposed, and forming a plated layer pattern to fill the via hole and to directly contact the metal wire pattern by using the metal wire pattern exposed through the via hole as a seed metal layer.
    Type: Application
    Filed: May 16, 2011
    Publication date: November 24, 2011
    Applicant: Samsung Electronics Co., Ltd
    Inventors: Ju-il CHOI, Jae-hyun Phee, Hyu-ha Lee, Ho-jin Lee, Son-kwan Hwang
  • Publication number: 20110284968
    Abstract: A semiconductor device includes a semiconductor substrate having a top surface and a recessed portion including at least two oblique side surfaces and a first bottom surface therebetween, a gate insulating layer formed on the recessed portion, a gate electrode formed on the gate insulating layer, a channel region below the gate electrode in the semiconductor substrate, and gate spacers formed on side surfaces of the gate electrode, wherein both the bottom surface and the side surfaces of the recessed portion include flat surfaces. A method of manufacturing a semiconductor device comprising the steps of forming a recess portion including at least two oblique side surfaces and a bottom surface therebetween in a semiconductor substrate, forming a gate insulating layer formed on the recessed portion, forming a gate electrode formed on the gate insulating layer, forming a channel region below the gate electrode in the semiconductor substrate, and forming gate spacers formed on side surfaces of the gate electrode.
    Type: Application
    Filed: April 29, 2011
    Publication date: November 24, 2011
    Inventors: Kwang-Wook Lee, Jae-Jik Baek, In-Seak Hwang, Seok-Woo Nam
  • Publication number: 20110284973
    Abstract: One object is to provide a semiconductor element in which leakage current between a gate electrode and a channel formation region is suppressed even when the gate electrode is miniaturized as a result of miniaturization of the semiconductor element. Another object is to provide a downsized and high-performance semiconductor device. A semiconductor element having the following structure is manufactured: an insulating film containing gallium oxide and having a relative permittivity of 10 or more is formed as a gate insulating film over a semiconductor layer having a function of a channel formation region; and a gate electrode is formed over the gallium oxide. Further, a semiconductor device is manufactured by using the semiconductor element.
    Type: Application
    Filed: May 17, 2011
    Publication date: November 24, 2011
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventor: Shunpei Yamazaki
  • Publication number: 20110284933
    Abstract: The present invention relates to a semiconductor component which comprises at least one electric contact surface for the electric contacting of a semiconductor region (1) with a metal material (3). To this end, the electric contact surface is configured by a surface of a semiconductor layer that is structured in terms of the depth thereof and preferably silicidated. By configuring a three-dimensional surface topography of the semiconductor layer, an enlargement of the electric contact surface is achieved, without enlarging the surface required for the semiconductor component and without the use of additional materials. In this way, the invention can advantageously be used to reduce parasitic contact resistance in semiconductor components which are produced using standard CMOS processes.
    Type: Application
    Filed: February 26, 2008
    Publication date: November 24, 2011
    Applicant: Fraunholfer-Gesellschaft zuer Foerderung der angewandten Forschung e.V.
    Inventors: Christian Kampen, Alexander Burenkov
  • Publication number: 20110284931
    Abstract: A transistor device sequentially comprises a semiconductor substrate, a drain, a source, a gate metal seed layer and a gate Schottky contact. The gate metal seed layer comprises a gelatinous substance layer and multiple metal seed crystals. A manufacture method comprises steps of providing a semiconductor substrate; forming a drain and a source; forming a patterned photoresist layer with a photolithography to define a gate area on the semiconductor substrate; forming a gate metal seed layer on the semiconductor substrate with a sensitization process and an activation process; and forming a gate Schottky contact on the gate metal seed layer with an electroless plating approach.
    Type: Application
    Filed: May 20, 2011
    Publication date: November 24, 2011
    Applicant: NATIONAL CHENG KUNG UNIVERSITY
    Inventors: Wen-Chau Liu, Huey-Ing Chen, Li-Yang Chen, Chien-Chang Huang