Field-effect Transistor (epo) Patents (Class 257/E29.242)

  • Publication number: 20120091511
    Abstract: The present disclosure provides a method includes forming a multi-fin device. The method includes forming a patterned mask layer on a semiconductor substrate. The patterned mask layer includes a first opening having a first width W1 and a second opening having a second width W2 less than the first width. The patterned mask layer defines a multi-fin device region and an inter-device region, wherein the inter-device region is aligned with the first opening; and the multi-fin device region includes at least one intra-device region being aligned with the second opening.
    Type: Application
    Filed: October 19, 2010
    Publication date: April 19, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsin-Chih Chen, Tsung-Lin Lee, Feng Yuan
  • Publication number: 20120091525
    Abstract: An apparatus is disclosed to increase a breakdown voltage of a semiconductor device. The semiconductor device includes a first heavily doped region to represent a source region. A second heavily doped region represents a drain region of the semiconductor device. A third heavily doped region represents a gate region of the semiconductor device. The semiconductor device includes a gate oxide positioned between the source region and the drain region, below the gate region. The semiconductor device uses a split gate oxide architecture to form the gate oxide. The gate oxide includes a first gate oxide having a first thickness and a second gate oxide having a second thickness.
    Type: Application
    Filed: December 28, 2011
    Publication date: April 19, 2012
    Applicant: Broadcom Corporation
    Inventor: Akira Ito
  • Publication number: 20120086079
    Abstract: A semiconductor device includes: a first semiconductor layer of a first conductivity type; an insulation layer on the first semiconductor layer; a second semiconductor layer in the insulation layer; an active element in the second semiconductor layer; a first semiconductor region on the first semiconductor layer and of a second conductivity type; a second semiconductor region in the first semiconductor region and of the second conductivity type with a higher impurity concentration than the first semiconductor region; a first conductor in a through hole in the insulation layer and connected to the second semiconductor region; a second conductor above or within the insulation layer, the second conductor surrounding the first conductor such that an outside edge thereof is outside the second semiconductor region; a third conductor connecting the first and second conductors; and a fourth conductor connected to the first semiconductor layer.
    Type: Application
    Filed: October 5, 2011
    Publication date: April 12, 2012
    Inventors: Hiroki KASAI, Yasuo ARAI, Takaki HATSUI
  • Publication number: 20120085998
    Abstract: Example embodiments disclose transistors and electronic devices including the transistors. A transistor may include a charge blocking layer between a gate insulating layer and a gate. An energy barrier between the gate insulating layer and the gate may be increased by the charge blocking layer. The transistor may be an oxide transistor including a channel layer formed of an oxide semiconductor.
    Type: Application
    Filed: April 28, 2011
    Publication date: April 12, 2012
    Inventors: Dae-woong Kwon, Jae-chul Park, Byung-gook Park, Sang-wan Kim, Jang-hyun Kim, Ji-soo Chang
  • Publication number: 20120086048
    Abstract: Semiconductor devices and methods of manufacturing semiconductor devices. A semiconductor device includes a metal gate electrode stacked on a semiconductor substrate with a gate insulation layer disposed therebetween, spacer structures disposed on the semiconductor substrate at both sides of the metal gate electrode, source/drain regions formed in the semiconductor substrate at the both sides of the metal gate electrode, and an etch stop pattern including a bottom portion covering the source/drain regions and a sidewall portion extended from the bottom portion to cover a portion of sidewalls of the spacer structures, in which an upper surface of the sidewall portion of the etch stop pattern is positioned under an upper surface of the metal gate electrode.
    Type: Application
    Filed: July 28, 2011
    Publication date: April 12, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sangjine Park, Boun Yoon, Jeongnam Han
  • Publication number: 20120086065
    Abstract: Provided is a semiconductor device having a vertical channel transistor and method of fabricating the same. The semiconductor device includes first and second field effect transistors, wherein a channel region of the first field effect transistor serves as source/drain electrodes of the second field effect transistor, and a channel region of the second field effect transistor serves as source/drain electrodes of the first field effect transistor.
    Type: Application
    Filed: April 29, 2011
    Publication date: April 12, 2012
    Inventors: Daeik KIM, Yongchul Oh, Yoosang Hwang, Hyun-Woo Chung, Young-Seung Cho
  • Publication number: 20120087378
    Abstract: The present invention includes methods for producing GaAs/Si composites, GaAs/Si composites, apparatus for preparing GaAs/Si composites, and a variety of electronic and photoelectric circuits and devices incorporating GaAs/Si composites of the present invention.
    Type: Application
    Filed: April 11, 2011
    Publication date: April 12, 2012
    Applicant: BOWLING GREEN STATE UNIVERSITY
    Inventors: Bruno Ullrich, Artur Erlacher
  • Publication number: 20120086055
    Abstract: Devices having gate-to-gate isolation structures and methods of manufacture are provided. The method includes forming a plurality of trenches in a pad film to form raised portions. The method further includes depositing a hard mask in the trenches and over the upper pad film. The method further includes forming a plurality of fins including the raised portions and a second plurality of fins including the hard mask deposited in the trenches, each of which are separated by a deep trench. The method further includes removing the hard mask on the plurality of fins including the raised portions and the second plurality of fins resulting in a dual height fin array. The method further includes forming gate electrodes within each deep trench between each fin of the dual height fin array, burying the second plurality of fins and abutting sides of the plurality of fins including the raised portions.
    Type: Application
    Filed: October 12, 2010
    Publication date: April 12, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Brent A. ANDERSON, Edward J. NOWAK, Jed H. RANKIN
  • Publication number: 20120086071
    Abstract: Semiconductor substrate with a deformed gate region and a method for the fabrication thereof. The semiconductor substrate has improved device performance compared to devices without a deformed gate region and decreased dopant loss compared to devices with deformed source/drain regions.
    Type: Application
    Filed: October 12, 2010
    Publication date: April 12, 2012
    Applicant: International Business Machines Corporation
    Inventors: Lahir Shaik Adam, Bruce B. Doris, Sanjay Mehta, Zhengmao Zhu
  • Publication number: 20120080759
    Abstract: A first transistor includes a first impurity layer of a first conduction type formed in a first region of a semiconductor substrate, a first epitaxial semiconductor layer formed above the first impurity layer, a first gate insulating film formed above the first epitaxial semiconductor layer, a first gate electrode formed above the first gate insulating film, and first source/drain regions of a second conduction type formed in the first epitaxial semiconductor layer and in the semiconductor substrate in the first region.
    Type: Application
    Filed: June 28, 2011
    Publication date: April 5, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Taiji Ema, Kazushi Fujita
  • Publication number: 20120080658
    Abstract: A graphene electronic device and a method of fabricating the graphene electronic device are provided. The graphene electronic device may include a graphene channel layer formed on a hydrophobic polymer layer, and a passivation layer formed on the graphene channel layer. The hydrophobic polymer layer may prevent or reduce adsorption of impurities to transferred graphene, and a passivation layer may also prevent or reduce adsorption of impurities to a heat-treated graphene channel layer.
    Type: Application
    Filed: May 19, 2011
    Publication date: April 5, 2012
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Hee-jun Yang, Sun-ae Seo, Sung-hoon Lee, Hyun-jong Chung, Jin-Seong Heo
  • Publication number: 20120080720
    Abstract: A method of forming a semiconductor device comprises forming a control electrode over a portion of a semiconductor layer, forming recesses extending into the semiconductor layer on opposing sides of the control electrode, and forming doped regions in the semiconductor layer through the recesses. The doped regions form current electrode regions of the semiconductor device and each doped region extends into the semiconductor layer from at least a base of a recess. The method further comprises forming, after forming the doped regions, strained semiconductor regions in the recesses, wherein a junction between each doped region and the semiconductor layer is formed below an interface between a strained semiconductor region and the semiconductor layer.
    Type: Application
    Filed: December 12, 2011
    Publication date: April 5, 2012
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventor: John M. Grant
  • Publication number: 20120080716
    Abstract: A semiconductor device for electrostatic discharge (ESD) protection comprises a silicon controlled rectifier (SCR) including a semiconductor substrate, a first well formed in the substrate, a second well formed in the substrate, a first p-type region formed in the first well to serve as an anode, and a first n-type region partially formed in the second well to serve as a cathode, a p-type metal-oxide-semiconductor (PMOS) transistor formed in the first well including a gate, a first diffused region and a second diffused region separated apart from the first diffused region, a second n-type region formed in the first well electrically connected to the first diffused region of the PMOS transistor, and a second p-type region formed in the substrate electrically connected to the second diffused region of the PMOS transistor.
    Type: Application
    Filed: December 15, 2011
    Publication date: April 5, 2012
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Ming-Dou Ker, Shih-Hung Chen, Kun-Hsien Lin
  • Publication number: 20120080721
    Abstract: A semiconductor structure includes a recess disposed in a substrate, a non-doped epitaxial layer and a doped epitaxial layer. The non-doped epitaxial layer is disposed on the inner surface of the recess and substantially consists of Si and an epitaxial layer. The non-doped epitaxial layer has a sidewall and a bottom which together cover the inner surface. The bottom thickness is not greater than 120% of the sidewall thickness. The non-doped epitaxial layer and the doped epitaxial layer together fill up the recess.
    Type: Application
    Filed: October 4, 2010
    Publication date: April 5, 2012
    Inventors: Chin-I Liao, Ching-I Li, Shu-Yen Chan
  • Publication number: 20120080749
    Abstract: UMOS (U-shaped trench MOSFET) semiconductor devices that have been formed using low temperature processes are described. The source region of the UMOS structure can be formed before the etch processes that are used to create the trench, allowing low-temperature materials to be incorporated into the semiconductor device from the creation of the gate oxide layer oxidation forward. Thus, the source drive-in and activation processing that are typically performed after the trench etch can be eliminated. The resulting UMOS structures contain a trench structure with both a gate insulting layer comprising a low temperature dielectric material and a gate conductor comprising a low temperature conductive material. Forming the source region before the trench etch can reduce the problems resulting from high temperature processes, and can reduce auto doping, improve threshold voltage control, reduce void creation, and enable incorporation of materials such as silicides that cannot survive high temperature processing.
    Type: Application
    Filed: September 30, 2010
    Publication date: April 5, 2012
    Inventor: Robert J. Purtell
  • Publication number: 20120074471
    Abstract: A method of forming a shadow mask vapor deposited transistor includes shadow mask vapor depositing a semiconductor segment. An electrically conductive drain contact is shadow mask vapor deposited on a first part of the semiconductor segment and a first insulator is shadow mask vapor deposited on the drain contact. An electrically conductive source contact is shadow mask vapor deposited on a second part of the semiconductor segment spaced from the drain contact and a second insulator is shadow mask vapor deposited on the source contact. A third insulator is shadow mask vapor deposited over at least part of each of the first and second insulators and the semiconductor segment between the drain contact and the source contact. An electrically conductive gate contact is shadow mask vapor deposited on the third insulator and in spaced relation to the semiconductor segment between the drain contact and the source contact.
    Type: Application
    Filed: September 23, 2010
    Publication date: March 29, 2012
    Applicant: ADVANTECH GLOBAL, LTD
    Inventor: Timothy A. Cowen
  • Publication number: 20120074497
    Abstract: A device used as an ESD protection structure, which is a modified N-type LDMOS device is disclosed. A conventional LDMOS includes only one N-type heavily doped region as a drain in an N-type lightly doped region (11), while the device of the invention includes a P-type heavily doped region (22) in an N-type lightly doped region (11), dividing the N-type heavily doped region into two N-type heavily doped regions (21, 23) unconnected and independent to each other. The N-type heavily doped region (21) close to the gate (14) has no picking-up terminal. The N-type heavily doped region (23) away from the gate (14) together with the P-type heavily doped region (22) is picked up and connected to an input/output bonding pad.
    Type: Application
    Filed: September 22, 2011
    Publication date: March 29, 2012
    Inventor: Xiang Gao
  • Publication number: 20120068275
    Abstract: A method for fabricating a semiconductor device includes forming a high-dielectric constant insulating film including a high-dielectric constant film; forming a first conductive film including an oxide film on an upper surface thereof and containing at least one of high melting point metal or a compound thereof; forming a second conductive film containing silicon on the first conductive film with the oxide film being interposed therebetween; forming a mixing layer by performing ion implantation to the first and second conductive films to mix a constituent material of the oxide film and silicon of the second conductive film together; and forming the mixing layer into a conductive layer by performing heat treatment.
    Type: Application
    Filed: November 28, 2011
    Publication date: March 22, 2012
    Applicant: PANASONIC CORPORATION
    Inventor: Tsuyoshi MAKITA
  • Publication number: 20120068234
    Abstract: Semiconductor devices with replacement gate electrodes and integrated self aligned contacts are formed with enhanced gate dielectric layers and improved electrical isolation properties between the gate line and a contact. Embodiments include forming a removable gate electrode on a substrate, forming a self aligned contact stop layer over the electrode and the substrate, removing a portion of the self aligned contact stop layer over the electrode and the electrode itself leaving an opening, forming a replacement gate electrode of metal, in the opening, transforming an upper portion of the metal into a dielectric layer, and forming a self aligned contact. Embodiments include forming the contact stop layer of a dielectric material, and transforming the upper portion of the metal into a dielectric layer. Embodiments also include forming a hardmask layer over the removable gate electrode to protect the electrode during silicidation in source/drain regions of the semiconductor device.
    Type: Application
    Filed: September 22, 2011
    Publication date: March 22, 2012
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Steven R. Soss, Andreas Knorr
  • Publication number: 20120068271
    Abstract: After forming a semiconductor film over a substrate, a Ni film is deposited over the semiconductor film while heating the substrate, thereby forming Ni silicide on the semiconductor film. Alternatively, after forming a semiconductor film over a substrate, a Ni film is deposited over the semiconductor film while heating the substrate up to 450° C. or higher, thereby forming Ni silicide on the semiconductor film. Alternatively, after forming a semiconductor film over a substrate, a Ni film is deposited with a thickness of 10 nm or more over the semiconductor film while heating the substrate to 450° C. or higher, thereby forming Ni silicide on the semiconductor film. Alternatively, after forming a semiconductor film over a substrate, and removing an oxide film on the semiconductor film, a Ni film is deposited over the semiconductor film while heating the substrate up to 450° C. or higher, thereby forming Ni silicide on the semiconductor film.
    Type: Application
    Filed: November 7, 2011
    Publication date: March 22, 2012
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventor: Hajime TOKUNAGA
  • Publication number: 20120068232
    Abstract: The present invention relates to a method for manufacturing a semiconductor device, and provides to reduce a contact resistance of a landing plug by forming the landing plug in such a manner that a polysilicon layer is deposited only on the surface of a landing plug contact hole, and a metal layer is buried in the rest of the landing plug contact hole in the process of forming a storage node contact or a bit line contact.
    Type: Application
    Filed: November 28, 2011
    Publication date: March 22, 2012
    Applicant: Hynix Semiconductor Inc.
    Inventor: Sung Hyun KIM
  • Publication number: 20120061684
    Abstract: In an embodiment, a method of fabricating a transistor device comprises: providing a semiconductor topography comprising a gate conductor disposed above a semiconductor substrate between a pair of dielectric spacers; anisotropically etching exposed regions of the semiconductor substrate on opposite sides of the dielectric spacers to form recessed regions in the substrate; oxidizing exposed surfaces of the substrate in the recessed regions to form an oxide thereon; removing the oxide from bottoms of the recessed regions while retaining the oxide upon sidewalls of the recessed regions; and isotropically etching the substrate such that the recessed regions undercut the pair of dielectric spacers.
    Type: Application
    Filed: November 21, 2011
    Publication date: March 15, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: John C. Arnold, Xuefeng Hua, Rangarajan Jagannathan, Stefan Schmitz
  • Publication number: 20120061728
    Abstract: Semiconductor-on-insulator (XOI) structures and methods of fabricating XOI structures are provided. Single-crystalline semiconductor is grown on a source substrate, patterned, and transferred onto a target substrate, such as a Si/SiO2 substrate, thereby assembling an XOI substrate. The transfer process can be conducted through a stamping method or a bonding method. Multiple transfers can be carried out to form heterogenous compound semiconductor devices. The single-crystalline semiconductor can be II-IV or III-V compound semiconductor, such as InAs. A thermal oxide layer can be grown on the patterned single crystalline semiconductor, providing improved electrical characteristics and interface properties. In addition, strain tuning is accomplished via a capping layer formed on the single-crystalline semiconductor before transferring the single-crystalline semiconductor to the target substrate.
    Type: Application
    Filed: July 1, 2011
    Publication date: March 15, 2012
    Applicant: The Regents of the University of California
    Inventors: ALI JAVEY, HYUNHYUB KO, KUNIHARU TAKEI
  • Publication number: 20120061736
    Abstract: The present invention relates to a stress-enhanced transistor and a method for forming the same. The method for forming the transistor according to the present invention comprises the steps of forming a mask layer on a semiconductor substrate on which a gate has been formed, so that the mask layer covers the gate and the semiconductor substrate; patterning the mask layer so as to expose at least a portion of each of a source region and a drain region; amorphorizing the exposed portions of the source region and the drain region; removing the mask layer; and annealing the semiconductor substrate so that a dislocation is formed in the exposed portion of each of the source region and the drain region.
    Type: Application
    Filed: May 13, 2011
    Publication date: March 15, 2012
    Applicant: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Haizhou Yin, Zhijong Luo, Huilong Zhu
  • Publication number: 20120061762
    Abstract: Asymmetric FET devices, and a method for fabricating such asymmetric devices on a fin structure is disclosed. The fabrication method includes disposing over the fin a high-k dielectric layer followed by a threshold-modifying layer, performing an ion bombardment at a tilted angle which removes the threshold-modifying layer over one of the fin's side-surfaces. The completed FET devices will be asymmetric due to the threshold-modifying layer being present only in one of two devices on the side of the fin. In an alternate embodiment further asymmetries are introduced, again using tilted ion implantation, resulting in differing gate-conductor materials for the two FinFET devices on each side of the fin.
    Type: Application
    Filed: September 13, 2010
    Publication date: March 15, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Bruce B. Doris, Ying Zhang
  • Publication number: 20120061760
    Abstract: A method for manufacturing a semiconductor device comprises including a insulating pattern and a silicon film over a SOI substrate, thereby increasing a reduced volume of a floating body after forming a floating body fin transistor so as to secure a data storage space. The method comprises: forming a insulating pattern and a first silicon film over an upper silicon film of a SOI substrate; and forming a fin structure in the first silicon film.
    Type: Application
    Filed: November 15, 2011
    Publication date: March 15, 2012
    Applicant: Hynix Semiconductor Inc.
    Inventors: Joong Sik Kim, Sung Woong Chung
  • Publication number: 20120061735
    Abstract: A semiconductor device with stress trench isolation and a method for forming the same are provided. The method includes: providing a silicon substrate; forming first trenches and second trenches on the silicon substrate, wherein an extension direction of the first trenches is perpendicular to that of the second trenches; forming a first dielectric layer in the first trenches and forming a second dielectric layer in the second trenches; and forming a gate stack on a portion of the silicon substrate surrounded by the first trenches and the second trenches, wherein a channel length direction under the gate stack is parallel to the extension direction of the first trenches, indices of crystal plane of the silicon substrate are {100}, and the extension direction of the first trenches is along the crystal orientation <110>. The embodiments of the present invention can improve response speed and performance of the devices.
    Type: Application
    Filed: January 27, 2011
    Publication date: March 15, 2012
    Applicant: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES
    Inventors: Haizhou Yin, Zhijiong Luo, Huilong Zhu
  • Publication number: 20120055236
    Abstract: A solid-state field-effect transistor sensor for detecting chemical and biological species and for detecting changes in radiation is disclosed. The device includes a porous or structured channel section to improve device sensitivity. The device is operated in a fully depleted mode such that a sensed biological, chemical or radiation change causes an exponential change in channel conductance.
    Type: Application
    Filed: June 6, 2008
    Publication date: March 8, 2012
    Inventor: Bharath R Takulapalli
  • Publication number: 20120056275
    Abstract: A method of forming a semiconductor device includes: forming a channel of a field effect transistor (FET) in a substrate; forming a heavily doped region in the substrate; and forming recesses adjacent the channel and the heavily doped region. The method also includes: forming an undoped or lightly doped intermediate layer in the recesses on exposed portions of the channel and the heavily doped region; and forming source and drain regions on the intermediate layer such that the source and drain regions are spaced apart from the heavily doped region by the intermediate layer.
    Type: Application
    Filed: September 7, 2010
    Publication date: March 8, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jin CAI, Toshiharu FURUKAWA, Robert R. ROBISON
  • Publication number: 20120049249
    Abstract: A semiconductor structure and a method for fabricating the same. A semiconductor structure includes a semiconductor substrate; a channel region formed in the semiconductor substrate; a gate including a dielectric layer and a conductive layer and formed above the channel region; source and drain regions formed at opposing sides of the gate; first shallow trench isolations embedded into the semiconductor substrate and having a length direction parallel to the length direction of the gate; and second shallow trench isolations, each of which abuts the outer sidewall of the source or the drain region and abuts the first shallow trench isolations, in which the source and drain regions include first seed crystal layers abutting the second shallow trench isolations, and the top surfaces of the second shallow trench isolations are higher than or as high as the top surfaces of the source and drain regions.
    Type: Application
    Filed: September 20, 2010
    Publication date: March 1, 2012
    Applicant: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Huilong Zhu, Haizhou Yin, Zhijiong Luo, Huicai Zhong
  • Publication number: 20120049296
    Abstract: A silicon dioxide material may be provided in sophisticated semiconductor devices in the form of a double liner including an undoped silicon dioxide material in combination with a high density plasma silicon dioxide, thereby providing reduced dependency on pattern density. In some illustrative embodiments, the silicon dioxide double liner may be used as a spacer material and as a hard mask material in process strategies for incorporating a strain-inducing semiconductor material.
    Type: Application
    Filed: July 15, 2011
    Publication date: March 1, 2012
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Stephan Kronholz, Markus Lenski, Kerstin Ruttloff, Volker Jaschke
  • Publication number: 20120049276
    Abstract: In a method for manufacturing a semiconductor device, a semiconductor film formed over an insulator is doped with an impurity element to a depth less than the thickness of the semiconductor film, thereby forming an impurity doped layer; a metal silicide layer is formed on the impurity doped layer; the metal silicide layer and the semiconductor film are etched to form a recessed portion; and a layer which is not doped with the impurity element and is located at the bottom of the recessed portion of the semiconductor film is thinned to make a channel formation region. Further, a gate electrode is formed in the recessed portion over the thinned non impurity doped layer, with an insulating film interposed therebetween.
    Type: Application
    Filed: November 4, 2011
    Publication date: March 1, 2012
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Takashi SHINGU, Daisuke Ohgarane, Yurika Sato
  • Publication number: 20120049247
    Abstract: A method of fabricating a semiconductor device is illustrated. A modified profile opening is formed on a substrate. The modified profile opening includes a first width proximate a surface of the substrate and a second width opposing the substrate. The second width is greater than the first width. A metal gate electrode is formed by filling the modified profile opening with a conductive material. A semiconductor device is also described, the device having a metal gate structure with a first width and a second, differing, width.
    Type: Application
    Filed: August 31, 2010
    Publication date: March 1, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Da-Yuan Lee, Kuang-Yuan Hsu, Matt Yeh, Yi-Chen Huang, Fan-Yi Hsu, Hui Ouyang, Ming-Jie Huang, Shin Hsien Liao
  • Patent number: 8124977
    Abstract: One aspect of the present subject matter relates to a method for forming strained semiconductor film. According to an embodiment of the method, a crystalline semiconductor bridge is formed over a substrate. The bridge has a first portion bonded to the substrate, a second portion bonded to the substrate, and a middle portion between the first and second portions separated from the substrate. The middle portion of the bridge is bonded to the substrate to provide a compressed crystalline semiconductor layer on the substrate. Other aspects are provided herein.
    Type: Grant
    Filed: May 11, 2009
    Date of Patent: February 28, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Publication number: 20120043624
    Abstract: An ultra-thin body transistor and a method for manufacturing an ultra-thin body transistor are disclosed. The ultra-thin body transistor comprises: a semiconductor substrate; a gate structure on the semiconductor substrate; and a source region and a drain region in the semiconductor substrate and on either side of the gate structure; in which the gate structure comprises a gate dielectric layer, a gate embedded in the gate dielectric layer, and a spacer on both sides of the gate; the ultra-thin body transistor further comprises: a body region and a buried insulated region located sequentially under the gate structure and in a well region; two ends of the body region and the buried insulated region are connected with the source region and the drain region respectively; and the body region is isolated from other regions in the well region by the buried insulated region under the body region. The ultra-thin body transistor has a thinner body region, which decreases the short channel effect.
    Type: Application
    Filed: January 27, 2011
    Publication date: February 23, 2012
    Inventors: Qingqing Liang, Huicai Zhong, Huilong Zhu
  • Publication number: 20120043594
    Abstract: It is an object of the present invention to provide a micro-electro-mechanical-device having a microstructure and a semiconductor element over one surface. In particular, it is an object of the present invention to provide a method for simplifying the process of forming the microstructure and the semiconductor element over one surface. A space in which the microstructure is moved, that is, a movable space for the microstructure is formed by procecssing an insulating layer which is formed in a process of forming the semiconductor element. The movable space can be formed by forming the insulating layer having a plurality of openings and making the openings face each other to be overlapped each other.
    Type: Application
    Filed: November 2, 2011
    Publication date: February 23, 2012
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Fuminori TATEISHI, Konami IZUMI, Mayumi YAMAGUCHI
  • Publication number: 20120043580
    Abstract: There are provided a structure of a semiconductor device in which low power consumption is realized even in a case where a size of a display region is increased to be a large size screen and a manufacturing method thereof. A gate electrode in a pixel portion is formed as a three layered structure of a material film containing mainly W, a material film containing mainly Al, and a material film containing mainly Ti to reduce a wiring resistance. A wiring is etched using an IPC etching apparatus. The gate electrode has a taper shape and the width of a region which becomes the taper shape is set to be 1 ?m or more.
    Type: Application
    Filed: November 1, 2011
    Publication date: February 23, 2012
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Shunpei Yamazaki, Hideomi Suzawa, Yoshihiro Kusuyama, Koji Ono, Jun Koyama
  • Publication number: 20120043549
    Abstract: The invention relates to a nonvolatile semiconductor memory device including a semiconductor layer which has a source region, a drain region, and a channel forming region which is provided between the source region and the drain region; and a first insulating layer, a first gate electrode, a second insulating layer, and a second gate electrode which are layered over the semiconductor layer in that order. Part or all of the source and drain regions is formed using a metal silicide layer. The first gate electrode contains a noble gas element.
    Type: Application
    Filed: November 4, 2011
    Publication date: February 23, 2012
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventor: Kengo AKIMOTO
  • Publication number: 20120043593
    Abstract: The present invention presents a method for manufacturing a semiconductor device structure as well as the semiconductor device structure. Said method comprises: providing a semiconductor substrate; forming a first insulating layer on the semiconductor substrate; forming a shallow trench isolation embedded in the first insulating layer and the semiconductor substrate; forming a channel region embedded in the semiconductor substrate; and forming a gate stack stripe on the channel region. Said method further comprises, before forming the channel region, performing a source/drain implantation on the semiconductor substrate. By means of forming the source/drain regions in a self-aligned manner before forming the channel region and the gate stack, said method achieves the advantageous effects of the replacement gate process without using a dummy gate, thereby simplifying the process and reducing the cost.
    Type: Application
    Filed: February 25, 2011
    Publication date: February 23, 2012
    Applicant: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES
    Inventors: Huicai Zhong, Qingqing Liang
  • Publication number: 20120043591
    Abstract: A semiconductor device includes a substrate, a semiconductor, a first surface passivation film including nitride, a second passivation film, a gate electrode, and a source electrode and a drain electrode. The semiconductor layer is provided on the substrate. The first surface passivation film including nitride is provided on the semiconductor layer and has at least two openings. The second surface passivation film covers an upper surface and a side surface of the first surface passivation film. The gate electrode is provided on a part of the second surface passivation film. The source electrode and the drain electrode are respectively provided on the two openings. In addition, the second surface passivation film includes a material of which melting point is higher than the melting points of the gate electrode, the source electrode, and the drain electrode.
    Type: Application
    Filed: March 17, 2011
    Publication date: February 23, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Yoshiharu TAKADA
  • Publication number: 20120043590
    Abstract: A device includes a well region over a substrate, and a heavily doped well region over the well region, wherein the well region and the heavily doped well region are of a same conductivity type. A gate dielectric is formed on a top surface of the heavily doped well region. A gate electrode is formed over the gate dielectric. A source region and a drain region are formed on opposite sides of the heavily doped well region. The source region and the drain region have bottom surfaces contacting the well region, and wherein the source region and the drain region are of opposite conductivity types.
    Type: Application
    Filed: August 17, 2010
    Publication date: February 23, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Chung Chen, Chewn-Pu Jou
  • Publication number: 20120043592
    Abstract: The present invention provides a semiconductor device. The semiconductor device comprises contact plugs that comprise a first contact plug formed by a first barrier layer arranged on the source and drain regions and a tungsten layer arranged on the first barrier layer; and second contact plugs comprising a second barrier layer arranged on both of the metal gate and the first contact plug and a conductive layer arranged on the second barrier layer. The conductivity of the conductive layer is higher than that of the tungsten layer. A method for forming the semiconductor device is also provided. The present invention provides the advantage of enhancing the reliability of the device when using the copper contact technique.
    Type: Application
    Filed: February 23, 2011
    Publication date: February 23, 2012
    Applicant: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES
    Inventors: Chao Zhao, Wenwu Wang, Huilong Zhu
  • Publication number: 20120043585
    Abstract: A field effect transistor device includes a substrate, a silicon germanium (SiGe) layer disposed on the substrate, gate dielectric layer lining a surface of a cavity defined by the substrate and the silicon germanium layer, a metallic gate material on the gate dielectric layer, the metallic gate material filling the cavity, a source region, and a drain region.
    Type: Application
    Filed: August 23, 2010
    Publication date: February 23, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Dechao Guo, Shu-Jen Han, Chung-Hsun Lin
  • Publication number: 20120043597
    Abstract: A semiconductor device and a method of fabricating a semiconductor device, wherein the method comprises forming, on a substrate, a plurality of planarized fin bodies to be used for customized fin field effect transistor (FinFET) device formation; forming a nitride spacer around each of the plurality of fin bodies; forming an isolation region in between each of the fin bodies; and coating the plurality of fin bodies, the nitride spacers, and the isolation regions with a protective film. The fabricated semiconductor device is used in customized applications as a customized semiconductor device.
    Type: Application
    Filed: November 2, 2011
    Publication date: February 23, 2012
    Applicant: International Business Machines Corporation
    Inventors: Howard H. Chen, Louis C. Hsu, Jack A. Mandelman, Chun-Yung Sung
  • Patent number: 8120120
    Abstract: Semiconductor devices with embedded silicon germanium source/drain regions are formed with enhanced channel mobility, reduced contact resistance, and reduced silicide encroachment. Embodiments include embedded silicon germanium source/drain regions with a first portion having a relatively high germanium concentration, e.g., about 25 to about 35 at. %, an overlying second portion having a first layer with a relatively low germanium concentration, e.g., about 10 to about 20 at. %, and a second layer having a germanium concentration greater than that of the first layer. Embodiments include forming additional layers on the second layer, each odd numbered layer having relatively low germanium concentration, at. % germanium, and each even numbered layer having a relatively high germanium concentration. Embodiments include forming the first region at a thickness of about 400 ? to 28 about 800 ?, and the first and second layers at a thickness of about 30 ? to about 70 ?.
    Type: Grant
    Filed: September 17, 2009
    Date of Patent: February 21, 2012
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Frank (Bin) Yang, Johan W. Weijtmans, Scott Luning
  • Publication number: 20120038006
    Abstract: The present application discloses a semiconductor device comprising a fin of semiconductive material formed from a semiconductor layer over a semiconductor substrate and having two opposing sides perpendicular to the main surface of the semiconductor substrate; a source region and a drain region provided in the semiconductor substrate adjacent to two ends of the fin and being bridged by the fin; a channel region provided at the central portion of the fin; and a stack of gate dielectric and gate conductor provided at one side of the fin, where the gate conductor is isolated from the channel region by the gate dielectric, and wherein the stack of gate dielectric and gate conductor extends away from the one side of the fin in a direction parallel to the main surface of the semiconductor substrate, and insulated from the semiconductor substrate by an insulating layer.
    Type: Application
    Filed: July 25, 2010
    Publication date: February 16, 2012
    Applicant: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Huilong Zhu, Haizhou Yin, Zhijiong Luo, Qiagqing Liang
  • Publication number: 20120037970
    Abstract: Memory devices comprise a microelectronic substrate including a cell array region and a peripheral region adjacent the cell array region, the cell array region including therein an array of memory cells and the peripheral region including therein peripheral circuits for the array of memory cells, the microelectronic substrate including a lower layer that extends across the cell array region and across the peripheral region and that includes a flat outer surface from the cell array region to the peripheral region. A signal transfer conductor layer extends in the cell array region beneath the flat outer surface of the lower layer and extends in the peripheral region above the flat outer surface of the lower layer. An insulating layer is provided on the lower layer, the insulating layer extending across the cell array region and the peripheral region and also including a flat outer surface from the cell array region to the peripheral region.
    Type: Application
    Filed: May 27, 2011
    Publication date: February 16, 2012
    Inventors: Wonmo Park, Hyunchul Kim, Hyodong Ban, Hyunju Lee
  • Patent number: 8115257
    Abstract: A semiconductor apparatus includes an internal circuit, a CMOS composed of a P-channel MOS transistor with a source connected to a high-potential power supply line and a gate connected to the internal circuit, and an N-channel MOS transistor with a source connected to a low-potential power supply line and a gate connected to the internal circuit, an output terminal connected to a drain of the P-channel MOS transistor and a drain of the N-channel MOS transistor and a protection transistor with a source and a gate connected to one power supply line of the high-potential power supply line and the low-potential power supply line and a drain connected to the output terminal, a conductivity type of the protection transistor being the same as a conductivity type of one MOS transistor of the P-channel MOS transistor and the N-channel MOS transistor, the source of the one MOS transistor being connected to the one power supply line.
    Type: Grant
    Filed: November 30, 2010
    Date of Patent: February 14, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hideaki Sai
  • Publication number: 20120032272
    Abstract: A semiconductor device includes a silicon substrate; an element isolation region; an element region including a first well; a contact region; a gate electrode extending from the element region to a sub-region of the element isolation region between the element region and the contact region; a source diffusion region; a drain diffusion region; a first insulating region contacting a lower end of the source diffusion region; a second insulating region contacting a lower end of the drain diffusion region; and a via plug configured to electrically connect the gate electrode with the contact region. The first well is disposed below the gate electrode and is electrically connected with the contact region via the silicon substrate under the sub-region. The lower end of the element isolation region except the sub-region is located lower than the lower end of the first well.
    Type: Application
    Filed: May 31, 2011
    Publication date: February 9, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Eiji Yoshida, Akihisa Yamaguchi
  • Publication number: 20120032265
    Abstract: Embodiments of an apparatus and methods for providing a graded high germanium compound region are generally described herein. Other embodiments may be described and claimed.
    Type: Application
    Filed: February 4, 2011
    Publication date: February 9, 2012
    Inventors: Danielle Simonelli, Anand Murthy