Field-effect Transistor (epo) Patents (Class 257/E29.242)

  • Publication number: 20110284934
    Abstract: There are provided a semiconductor device and a method of fabricating the same. The semiconductor device comprises: a semiconductor substrate of a first conductive type; a gate formed on the semiconductor substrate; and a heavily doped region of the first conductive type and a heavily doped region of a second conductive type formed respectively in the semiconductor substrate at either side of the gate, wherein the heavily doped region of the second conductive type is separated from the channel region under the gate and partially separated from the semiconductor substrate by a dielectric layer. By means of this semiconductor device, it is possible to provide excellent switching behavior.
    Type: Application
    Filed: September 16, 2010
    Publication date: November 24, 2011
    Applicant: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Zhijiong Luo, Huilong Zhu, Haizhou Yin
  • Publication number: 20110284964
    Abstract: A standard cell has gate patterns extending in Y direction and arranged at an equal pitch in X direction. End portions of the gate patterns are located at the same position in Y direction, and have an equal width in X direction. A diode cell is located next to the standard cell in Y direction, and includes a plurality of opposite end portions formed of gate patterns that are opposed to the end portions, in addition to a diffusion layer which functions as a diode.
    Type: Application
    Filed: July 8, 2011
    Publication date: November 24, 2011
    Applicant: PANASONIC CORPORATION
    Inventors: Tomoaki IKEGAMI, Kazuyuki Nakanishi, Masaki Tamaru
  • Publication number: 20110278678
    Abstract: This invention provides a semiconductor device having a semiconductor element that has low-resistance and a stable contact connection, even when the wiring is connected from the side of the single-crystal silicon layer on which the impurity concentration is lower. This invention provides a semiconductor device comprising, on a substrate, a semiconductor device having a single-crystal semiconductor film and a wiring connected to the single-crystal semiconductor film, and in the single-crystal semiconductor film, an impurity concentration on one surface side is different from an impurity concentration on another surface side, the wiring being connected to the surface side on which the impurity concentration is lower, the resistivity of a region of the single-crystal semiconductor film to which the wiring is connected being no less than 1 ??cm and no more than 0.01 ?cm.
    Type: Application
    Filed: December 17, 2009
    Publication date: November 17, 2011
    Applicant: SHARP KABUSHIKI KAISHA
    Inventors: Yasumori Fukushima, Yutaka Takafuji
  • Publication number: 20110278592
    Abstract: A semiconductor device which is designed based on RDR, suppresses the occurrence of a trouble at the boundary between an active region and a power wire and therearound and is small in size and highly integrated. The semiconductor device includes a first conductive impurity region for functional elements which is formed over the main surface of a semiconductor substrate and a second conductive impurity region for power potential to which power potential is applied in at least one standard cell. It also includes insulating layers which are formed over the main surface of the semiconductor substrate and have throughholes reaching the main surface of the semiconductor substrate, and a conductive layer for contact formed in the throughholes of the insulating layers.
    Type: Application
    Filed: April 14, 2011
    Publication date: November 17, 2011
    Inventors: Nobuo TSUBOI, Masakazu OKADA
  • Publication number: 20110278591
    Abstract: A SiC JFET that includes a plurality of trenches formed in a SiC semiconductor body of one conductivity each trench having a region of another conductivity formed in the bottom and sidewalls thereof.
    Type: Application
    Filed: November 15, 2010
    Publication date: November 17, 2011
    Applicant: SILICONIX TECHNOLOGY C.V.
    Inventors: Rossano Carta, Laura Bellemo, Giovanni Richieri, Luigi Merlin
  • Publication number: 20110278654
    Abstract: A semiconductor device comprises an interlayer insulation film, a wiring embedded in the interlayer insulation film and an air gap part formed between a side surface of the wiring and the interlayer insulation film.
    Type: Application
    Filed: May 6, 2011
    Publication date: November 17, 2011
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Yasuhiko UEDA
  • Publication number: 20110272763
    Abstract: Extension regions (17) are provided in side portions of a fin-shaped semiconductor region (13) formed on a substrate (11). A gate electrode (15) is formed to extend across the fin-shaped semiconductor region (13) and to be adjacent to the extension regions (17). A resistance region (37) having a resistivity higher than that of the extension regions (17) is formed in an upper portion of the fin-shaped semiconductor region (13) adjacent to the gate electrode (15).
    Type: Application
    Filed: December 17, 2009
    Publication date: November 10, 2011
    Inventors: Yuichiro Sasaki, Katsumi Okashita, Bunji Mizuno
  • Publication number: 20110272747
    Abstract: An electronic component includes a printed conductor structure on a substrate, as well as a film which contacts the printed conductor structure. The film has a smaller layer thickness than the printed conductor. The printed conductor structure has a region which is covered by the film for the purpose of contacting.
    Type: Application
    Filed: November 17, 2009
    Publication date: November 10, 2011
    Inventors: Richard Fix, Frederik Schrey, Oliver Wolst, Ingo Daumiller, Alexander Martin, Martin Le-Huu, Mike Kunze
  • Publication number: 20110272767
    Abstract: There is provided a semiconductor device and a method of fabricating the same. The method comprises: providing a semiconductor substrate; forming a transistor structure on the semiconductor substrate, wherein the transistor structure comprises a gate region and a source/drain region, and the gate region comprises a gate dielectric layer provided on the semiconductor substrate and a sacrificial gate formed on the gate dielectric layer; depositing a first interlayer dielectric layer, and planarizing the first interlayer dielectric layer to expose the sacrificial gate; removing the sacrificial gate to form a replacement gate hole; forming first contact holes at positions corresponding to the source/drain region in the first interlayer dielectric layer; and filling a first conductive material in the first contact holes and the replacement gate hole respectively to form first contacts and a replacement gate, wherein the first contacts come into contact with the source/drain region.
    Type: Application
    Filed: September 16, 2010
    Publication date: November 10, 2011
    Inventors: Haizhou Yin, Huilong Zhu, Zhijiong Luo
  • Publication number: 20110272756
    Abstract: An electronic system, method of manufacture of a semiconductor structure, and one or more semiconductor structures are disclosed. For example, a method of manufacture of a semiconductor structure is disclosed, which includes forming a first semiconductor substructure over a semiconductor substrate, forming a first spacer layer over the first semiconductor substructure and the semiconductor substrate, and forming a second semiconductor substructure over at least a portion of the first spacer layer.
    Type: Application
    Filed: November 9, 2010
    Publication date: November 10, 2011
    Applicant: INTERSIL AMERICAS INC.
    Inventor: Michael D. Church
  • Publication number: 20110272752
    Abstract: To provide a semiconductor device having a memory element, and which is manufactured by a simplified manufacturing process. A method of manufacturing a semiconductor device includes, forming a first insulating film to cover a first semiconductor film and a second semiconductor film; forming a first conductive film and a second conductive film over the first semiconductor film and the second semiconductor film, respectively, with the first insulating film interposed therebetween; forming a second insulating film to cover the first conductive film; forming a third conductive film selectively over the first conductive film which is formed over the first semiconductor film, with the second insulating film interposed therebetween, and doping the first semiconductor film with an impurity element with the third conductive film serving as a mask and doping the second semiconductor film with the impurity element through the second conductive film.
    Type: Application
    Filed: July 19, 2011
    Publication date: November 10, 2011
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventor: Yoshinobu ASAMI
  • Publication number: 20110266601
    Abstract: A semiconductor device has a gate multiple doping regions on both sides of the gate. The gate can be shared by a transistor and a capacitor.
    Type: Application
    Filed: July 11, 2011
    Publication date: November 3, 2011
    Applicant: Macronix International Co., Ltd.
    Inventors: Cheng-Chi Lin, Shih-Chin Lien, Chin-Pen Yeh, Shyi-Yuan Wu
  • Publication number: 20110266557
    Abstract: Wide bandgap semiconductor devices are fabricated by providing a wide bandgap semiconductor layer, providing a plurality of recesses in the wide bandgap semiconductor layer, and providing a metal gate contact in the plurality of recesses. A protective layer may be provided on the wide bandgap semiconductor layer, the protective layer having a first opening extending therethrough, a dielectric layer may be provided on the protective layer, the dielectric layer having a second opening extending therethrough that is narrower than the first opening, and a gate contact may be provided in the first and second openings. The metal gate contact may be provided to include a barrier metal layer in the plurality of recesses, and a current spreading layer on the barrier metal layer remote from the wide bandgap semiconductor layer. Related devices and fabrication methods are also discussed.
    Type: Application
    Filed: April 28, 2010
    Publication date: November 3, 2011
    Inventors: Van Mieczkowski, Helmut Hagleitner
  • Publication number: 20110266554
    Abstract: In a manufacturing method of a semiconductor device, first, a first semiconductor layer, a second semiconductor layer, and a p-type third semiconductor layer are sequentially epitaxially grown on a substrate. After that, the third semiconductor layer is selectively removed. Then, a fourth semiconductor layer is epitaxially grown on the second semiconductor layer. Then, a gate electrode is formed on the third semiconductor layer.
    Type: Application
    Filed: July 13, 2011
    Publication date: November 3, 2011
    Applicant: PANASONIC CORPORATION
    Inventors: Masahiro HIKITA, Kenichiro Tanaka, Tetsuzo Ueda
  • Publication number: 20110260264
    Abstract: There is provided a semiconductor device and a method of fabricating the same. The method of fabricating a semiconductor device according to the present invention comprises: forming a transistor structure including a gate, and source and drain regions on a semiconductor substrate; carrying out a first silicidation to form a first metal silicide layer on the source and drain regions; depositing a first dielectric layer on the substrate, the top of the first dielectric layer being flush with the top of the gate region; forming contact holes at the portions corresponding to the source and drain regions in the first dielectric layer; and carrying out a second silicidation to form a second metal silicide at the gate region and in the contact holes, wherein the first metal silicide layer is formed to prevent silicidation from occurring at the source and drain regions during the second silicidation.
    Type: Application
    Filed: June 28, 2010
    Publication date: October 27, 2011
    Inventors: Zhijiong Luo, Haizhou Yin, Huilong Zhu
  • Publication number: 20110260263
    Abstract: A method of manufacturing a semiconductor device is provided herein, where the width effect is reduced in the resulting semiconductor device. The method involves providing a substrate having semiconductor material, forming an isolation trench in the semiconductor material, and lining the isolation trench with a liner material that substantially inhibits formation of high-k material thereon. The lined trench is then filled with an insulating material. Thereafter, a layer of high-k gate material is formed over at least a portion of the insulating material and over at least a portion of the semiconductor material. The liner material divides the layer of high-k gate material, which prevents the migration of oxygen over the active region of the semiconductor material.
    Type: Application
    Filed: July 7, 2011
    Publication date: October 27, 2011
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventors: Richard J. CARTER, George J. KLUTH, Michael J. HARGROVE
  • Publication number: 20110260254
    Abstract: An electrostatic discharge semiconductor device can include a first conductivity type substrate that includes inner first conductivity type wells therein and a plurality of gate electrodes that are on an active region of the substrate. A second conductivity type well can be located in the substrate beneath the plurality of gate electrodes including at least one slit therein providing electrical contact between the inner first conductivity type wells and a first conductivity type outer well outside the active region.
    Type: Application
    Filed: April 6, 2011
    Publication date: October 27, 2011
    Inventors: SE-YOUNG KIM, GI-YOUNG YANG
  • Publication number: 20110260219
    Abstract: In a method for producing a sensor element including at least one sensitive component, a masking layer made of a material which is thermally decomposable without residue is applied to the sensitive component, the sensitive component being essentially covered by the masking layer, a protective layer made of a temperature-stable material is applied to the masking layer, and the masking layer is removed by pyrolysis or a low-temperature-guided oxygen plasma. The resulting sensor element includes at least one sensitive component covered by a protective layer made of a temperature-stable material, the sensitive component and the protective layer being placed at a distance from each other.
    Type: Application
    Filed: July 16, 2009
    Publication date: October 27, 2011
    Inventors: Thomas Wahl, Oliver Wolst, Alexander Martin
  • Publication number: 20110260213
    Abstract: Semiconductor structures are disclosed that have embedded stressor elements therein. The disclosed structures include at least one FET gate stack located on an upper surface of a semiconductor substrate. The at least one FET gate stack includes source and drain extension regions located within the semiconductor substrate at a footprint of the at least one FET gate stack. A device channel is also present between the source and drain extension regions and beneath the at least one gate stack. The structure further includes embedded stressor elements located on opposite sides of the at least one FET gate stack and within the semiconductor substrate. Each of the embedded stressor elements includes a lower layer of a first epitaxy doped semiconductor material having a lattice constant that is different from a lattice constant of the semiconductor substrate and imparts a strain in the device channel, and an upper layer of a second epitaxy doped semiconductor material located atop the lower layer.
    Type: Application
    Filed: April 21, 2010
    Publication date: October 27, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kevin K. Chan, Abhishek Dube, Judson R. Holt, Jinghong Li, Joseph S. Newbury, Viorel Ontalus, Dae-Gyu Park, Zhengmao Zhu
  • Publication number: 20110254094
    Abstract: A semiconductor device 20 formed on a semiconductor chip substrate 30 has a plurality of circuit blocks made up of circuits each containing at least a metal oxide semiconductor (MOS) transistor 36, the circuit blocks being covered on top with a protective film 41 to protect the circuits. A plurality of bumps 23a, 23b, 23c are formed, at least via the protective film 41, only on circuit blocks whose current-carrying ability and threshold voltage do not satisfy predetermined values and which are in need of performance enhancement. The bumps 23a, 23b, 23c impose stresses on the MOS transistors 36, increasing the mobility of the MOS transistors 36 and thereby improving the performance of the semiconductor device 20.
    Type: Application
    Filed: June 22, 2011
    Publication date: October 20, 2011
    Applicant: Panasonic Corporation
    Inventors: Takayuki Yoshida, Kimihito Kuwabara, Takuma Motofuji, Toshiyuki Fukuda
  • Publication number: 20110254060
    Abstract: A method of fabricating a metal gate structure is provided. Firstly, a high-K gate dielectric layer is formed on a semiconductor substrate. Then, a first metal-containing layer having a surface away from the gate dielectric layer is formed on the gate dielectric layer. After that, the surface of the first metal-containing layer is treated to improve the nitrogen content thereof of the surface. Subsequently, a silicon layer is formed on the first metal-containing layer. Because the silicon layer is formed on the surface having high nitrogen content, the catalyzing effect to the silicon layer resulted from the metal material in the first metal-containing layer can be prevented. As a result, the process yield is improved.
    Type: Application
    Filed: April 15, 2010
    Publication date: October 20, 2011
    Inventors: Yu-Ru YANG, Tzung-Ying Lee, Chin-Fu Lin, Chi-Mao Hsu
  • Publication number: 20110254096
    Abstract: A semiconductor device includes first and second MOSFETs corresponding to at least first power source voltage and second power source voltage lower than the first power source voltage, and non-silicide regions formed in drain portions of the first and second MOSFETs and having no silicide formed therein. The first MOSFET includes first diffusion layers formed in source/drain portions, a second diffusion layer formed below a gate portion and formed shallower than the first diffusion layer and a third diffusion layer formed with the same depth as the second diffusion layer in the non-silicide region, and the second MOSFET includes fourth diffusion layers formed in source/drain portions, a fifth diffusion layer formed below a gate portion and formed shallower than the fourth diffusion layer and a sixth diffusion layer formed shallower than the fourth diffusion layer and deeper than the fifth diffusion layer in the non-silicide region.
    Type: Application
    Filed: June 23, 2011
    Publication date: October 20, 2011
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Takayuki Hiraoka, Kuniaki Utsumi, Tsutomu Kojima, Kenji Honda
  • Publication number: 20110254016
    Abstract: Silicon carbide metal-oxide semiconductor field effect transistors (MOSFETs) may include an n-type silicon carbide drift layer, a first p-type silicon carbide region adjacent the drift layer and having a first n-type silicon carbide region therein, an oxide layer on the drift layer, and an n-type silicon carbide limiting region disposed between the drift layer and a portion of the first p-type region. The limiting region may have a carrier concentration that is greater than the carrier concentration of the drift layer.
    Type: Application
    Filed: March 15, 2011
    Publication date: October 20, 2011
    Inventor: Sei-Hyung Ryu
  • Publication number: 20110254062
    Abstract: A field effect transistor which can operate at a low threshold value includes: an n-type semiconductor region; a source region and a drain region separately formed in the n-type semiconductor region; a first insulating film formed in the semiconductor region between the source region and the drain region and containing silicon and oxygen; a second insulating film formed on the first insulating film and containing at least one material selected from Hf, Zr, and Ti and oxygen; and a gate electrode formed on the second insulating film. Ge is doped in an interface region including an interface between the first insulating film and the second insulating film, and an area density of the Ge has a peak on a first insulating film side in the interface region.
    Type: Application
    Filed: March 8, 2011
    Publication date: October 20, 2011
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Tatsuo Shimizu, Atsuhiro Kinoshita, Hirotaka Nishino
  • Patent number: 8039954
    Abstract: A first semiconductor element having a junction electrode to be connected to a first node of a bidirectional switch circuit is mounted on a first metal base plate to be a heat dissipation plate, and a second semiconductor element having a junction electrode to be connected to a second node of the bidirectional switch circuit is mounted on a second metal base plate to be a heat dissipation plate. The junction electrode of the first semiconductor element has the same potential as that of the first metal base plate, and the junction electrode of the second semiconductor element has the same potential as that of the second metal base plate. Also, the respective metal base plates and non-junction electrodes of the respective semiconductor elements are connected by metal thin wires, respectively, thereby configuring the bidirectional switch circuit.
    Type: Grant
    Filed: May 4, 2010
    Date of Patent: October 18, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Michitaka Osawa, Takamitsu Kanazawa
  • Publication number: 20110248234
    Abstract: The present invention relates to a method for producing a vertical interconnect structure, a memory device and an associated production method, in which case, after the formation of a contact region in a carrier substrate a catalyst is produced on the contact region and a free-standing electrically conductive nanoelement is subsequently formed between the catalyst and the contact region and embedded in a dielectric layer.
    Type: Application
    Filed: June 24, 2011
    Publication date: October 13, 2011
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Martin Gutsche, Franz Kreupl, Harald Seidl
  • Publication number: 20110248345
    Abstract: A method for easily manufacturing a semiconductor device in which variation in thickness or disconnection of a source electrode or a drain electrode is prevented is proposed. A semiconductor device includes a semiconductor layer formed over an insulating substrate; a first insulating layer formed over the semiconductor layer; a gate electrode formed over the first insulating layer; a second insulating layer formed over the gate electrode; an opening which reaches the semiconductor layer and is formed at least in the first insulating layer and the second insulating layer; and a step portion formed at a side surface of the second insulating layer in the opening.
    Type: Application
    Filed: June 24, 2011
    Publication date: October 13, 2011
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventor: Shinya SASAGAWA
  • Publication number: 20110248361
    Abstract: A semiconductor device includes a semiconductor region, a source region, a drain region, a source extension region a drain extension region, a first gate insulation film, a second gate insulation film, and a gate electrode. The source region, drain region, source extension region and drain extension region are formed in a surface portion of the semiconductor region. The first gate insulation film is formed on the semiconductor region between the source extension region and the drain extension region. The first gate insulation film is formed of a silicon oxide film or a silicon oxynitride film having a nitrogen concentration of 15 atomic % or less. The second gate insulation film is formed on the first gate insulation film and contains nitrogen at a concentration of between 20 atomic % and 57 atomic %. The gate electrode is formed on the second gate insulation film.
    Type: Application
    Filed: June 24, 2011
    Publication date: October 13, 2011
    Inventors: Takayuki ITO, Kyoichi SUGURO, Kouji MATSUO
  • Publication number: 20110248358
    Abstract: A method of manufacturing a semiconductor device, wherein thermal annealing of the source/drain regions is performed before reverse Halo implantation to form a reverse Halo implantation region. The method comprises: removing the dummy gate to expose the gate dielectric layer, so as to form an opening; performing reverse Halo implantation on the substrate via the opening, so as to form a reverse Halo implantation region in the channel of the device; activating the dopants in the reverse Halo implantation region by annealing; and performing subsequent device processing. Deterioration of the gate stack due to the reverse Halo ions implantation may be avoided by the present invention, such that the reverse Halo ions implantation may be applied to the device with a metal gate stack, and the short channel effects may be alleviated and controlled, thereby the performance of the device is enhanced.
    Type: Application
    Filed: June 25, 2010
    Publication date: October 13, 2011
    Applicant: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES
    Inventors: Haizhou Yin, Huilong Zhu, Zhijiong Luo
  • Publication number: 20110248319
    Abstract: Methods and apparatus relating to very large scale FET arrays for analyte measurements. ChemFET (e.g., ISFET) arrays may be fabricated using conventional CMOS processing techniques based on improved FET pixel and array designs that increase measurement sensitivity and accuracy, and at the same time facilitate significantly small pixel sizes and dense arrays. Improved array control techniques provide for rapid data acquisition from large and dense arrays. Such arrays may be employed to detect a presence and/or concentration changes of various analyte types in a wide variety of chemical and/or biological processes. In one example, chemFET arrays facilitate DNA sequencing techniques based on monitoring changes in hydrogen ion concentration (pH), changes in other analyte concentration, and/or binding events associated with chemical processes relating to DNA synthesis.
    Type: Application
    Filed: February 28, 2011
    Publication date: October 13, 2011
    Applicant: LIFE TECHNOLOGIES CORPORATION
    Inventors: Jonathan M. ROTHBERG, Wolfgang HINZ
  • Publication number: 20110241087
    Abstract: A gate insulating film is formed on a substrate. Next, a gate electrode film is formed on the gate insulating film. A mask film is formed on a portion of the gate electrode film. The gate electrode film is selectively removed by etching using the mask film as a mask. A gate sidewall film is formed so as to be in contact with the lateral surfaces of the mask film and the gate electrode film. The mask film is formed of a laminated film in which at least a first film, a second film and a third film are laminated in this order. The second film has a higher etching selectivity ratio than that of the third film with respect to the gate sidewall film. The third film has a higher etching selectivity ratio than that of the second film with respect to the gate electrode film.
    Type: Application
    Filed: March 29, 2011
    Publication date: October 6, 2011
    Inventors: Takeo MATSUKI, Nobuyuki MISE
  • Publication number: 20110241132
    Abstract: The semiconductor device includes a thin film transistor; a first interlayer insulating film over the thin film transistor; a first electrode electrically connected to one of a source region and a drain region, over the first interlayer insulating film; a second electrode electrically connected to the other of the source region and the drain region; a second interlayer insulating film formed over the first interlayer insulating film, the first electrode, and the second electrode; a first wiring electrically connected to one of the first electrode and the second electrode, on the second interlayer insulating film; and a second wiring not electrically connected to the other of the first electrode and the second electrode, on the second interlayer insulating film; in which the second wiring is not electrically connected to the other of the first electrode and the second electrode by a separation region formed in the second interlayer insulating film.
    Type: Application
    Filed: June 14, 2011
    Publication date: October 6, 2011
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventor: Kengo AKIMOTO
  • Publication number: 20110241133
    Abstract: A semiconductor device has a gate electrode including polysilicon, and a hydrogen occluding layer covering at least a top face of the gate electrode and having a function of occluding hydrogen.
    Type: Application
    Filed: March 28, 2011
    Publication date: October 6, 2011
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: Ziyuan Liu
  • Publication number: 20110233559
    Abstract: A field-effect transistor (FET) in which a gate electrode is located between a source electrode formed on one side of the gate electrode and a drain electrode formed on the other side, a source ohmic contact is formed under the source electrode and a drain ohmic contact is formed under the drain electrode. In the FET, the rise in the channel temperature is suppressed, the parasitic capacitance with a substrate is decreased, and the temperature dependence of drain efficiency is reduced, so that highly efficient operation can be achieved at high temperatures. The drain electrode is divided into a plurality of drain sub-electrodes spaced from each other and an insulating region is formed between the drain ohmic contacts formed under the drain sub-electrodes.
    Type: Application
    Filed: March 25, 2011
    Publication date: September 29, 2011
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: KOHJI ISHIKURA
  • Publication number: 20110233625
    Abstract: A semiconductor device includes a semiconductor chip; and a scribe line disposed in an adjacent way to and around the semiconductor chip. The scribe line comprises an interlayer insulating film and an accessory. The accessory comprises a first portion with a layer shape formed on the interlayer insulating film and a second portion extending downward from the first portion into the interlayer insulating film in a thickness direction thereof.
    Type: Application
    Filed: March 22, 2011
    Publication date: September 29, 2011
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Toyonori ETO
  • Publication number: 20110233624
    Abstract: One aspect of the present invention is a semiconductor device includes: source and drain regions; a gate electrode formed on the source and drain regions; a sidewall formed on a side surface of the gate electrode; a first silicide film formed on the source and drain regions a predetermined distance away from the sidewall; and a second silicide film formed on the gate electrode a predetermined distance away from the sidewall.
    Type: Application
    Filed: March 18, 2011
    Publication date: September 29, 2011
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventor: Takayoshi FUJISHIRO
  • Publication number: 20110233689
    Abstract: There is provided a semiconductor device that includes a III-V Group compound semiconductor having a zinc-blende-type crystal structure, an insulating material being in contact with the (111) plane of the III-V Group compound semiconductor, a plane of the III-V Group compound semiconductor equivalent to the (111) plane, or a plane that has an off angle with respect to the (111) plane or the plane equivalent to the (111) plane, and an MIS-type electrode being in contact with the insulating material and including a metal conductive material.
    Type: Application
    Filed: November 27, 2009
    Publication date: September 29, 2011
    Applicants: SUMITOMO CHEMICAL COMPANY, LIMITED, THE UNIVERSITY OF TOKYO, NATIONAL INSTITUTE FOR MATERIALS SCIENCE
    Inventors: Masahiko Hata, Noboru Fukuhara, Hisashi Yamada, Shinichi Takagi, Masakazu Sugiyama, Mitsuru Takenaka, Tetsuji Yasuda, Noriyuki Miyata, Taro Itatani, Hiroyuki Ishii, Akihiro Ohtake, Jun Nara
  • Publication number: 20110233610
    Abstract: Nonvolatile memory devices include a plurality of nonvolatile memory cell transistors having respective channel regions within a semiconductor layer formed of a first semiconductor material and respective source/drain regions formed of a second semiconductor material, which has a smaller bandgap relative to the first semiconductor material. The source/drain regions can form non-rectifying junctions with the channel regions. The source/drain regions may include germanium (e.g., Ge or SiGe regions), the semiconductor layer may be a P-type silicon layer and the source/drain regions of the plurality of nonvolatile memory cell transistors may be P-type germanium or P-type silicon germanium.
    Type: Application
    Filed: December 21, 2010
    Publication date: September 29, 2011
    Inventors: Byung-kyu Cho, Kwang-soo Seol, Sung-hoi Hur, Jung-dal Choi
  • Publication number: 20110233683
    Abstract: A method for fabricating a semiconductor device is provided which includes providing a semiconductor substrate, forming a plurality of transistors, each transistor having a dummy gate structure, forming a contact etch stop layer (CESL) over the substrate including the dummy gate structures, forming a first dielectric layer to fill in a portion of each region between adjacent dummy gate structures, forming a chemical mechanical polishing (CMP) stop layer over the CESL and first dielectric layer, forming a second dielectric layer over the CMP stop layer, performing a CMP on the second dielectric layer that substantially stops at the CMP stop layer, and performing an overpolishing to expose the dummy gate structure.
    Type: Application
    Filed: June 9, 2011
    Publication date: September 29, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Harry Chuang, Kong-Beng Thei, Su-Chen Lai, Gary Shen
  • Publication number: 20110233687
    Abstract: A semiconductor device manufacturing method includes forming a channel dope layer having a first electric conductive-type inside of a semiconductor substrate, the channel dope layer being formed in a region except for a drain impurity region where dopant impurities for forming a low-concentration drain region are introduced, and the channel dope layer being separated from the drain impurity region; forming a gate electrode on the semiconductor substrate via a gate insulating film; and forming a low-concentration source region inside of the semiconductor substrate on a first side of the gate electrode, and forming a low-concentration drain region in the drain impurity region of the semiconductor substrate on a second side of the gate electrode, by introducing second electric conductive dopant impurities inside of the semiconductor substrate with the gate electrode as a mask.
    Type: Application
    Filed: March 10, 2011
    Publication date: September 29, 2011
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Masashi SHIMA
  • Publication number: 20110233658
    Abstract: An electronic device includes a transistor, wherein the electronic device can include a semiconductor layer having a primary surface, a channel region, a gate electrode, a source region, a conductive electrode, and an insulating layer lying between the primary surface of the semiconductor layer and the conductive electrode. The insulating layer has a first region and a second region, wherein the first region is thinner than the second region. The channel region, gate electrode, source region, or any combination thereof can lie closer to the first region than the second region. The thinner portion can allow for faster switch of the transistor, and the thicker portion can allow a relatively large voltage difference to be placed across the insulating layer. Alternative shapes for the transitions between the different regions of the insulating layer and exemplary methods to achieve such shapes are also described.
    Type: Application
    Filed: June 8, 2011
    Publication date: September 29, 2011
    Inventor: Gary H. Loechelt
  • Patent number: 8026551
    Abstract: In the case of using an analog buffer circuit, an input voltage is required to be added a voltage equal to a voltage between the gate and source of a polycrystalline silicon TFT; therefore, a power supply voltage is increased, thus a power consumption is increased with heat. In view of the foregoing problem, the invention provides a depletion mode polycrystalline silicon TFT as a polycrystalline silicon TFT used in an analog buffer circuit such as a source follower circuit. The depletion mode polycrystalline silicon TFT has a threshold voltage on its negative voltage side; therefore, an input voltage does not have to be increased as described above. As a result, a power supply voltage requires no increase, thus a low power consumption of a liquid crystal display device in particular can be realized.
    Type: Grant
    Filed: April 13, 2010
    Date of Patent: September 27, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Jun Koyama
  • Publication number: 20110227158
    Abstract: The present invention discloses a semiconductor device. In one embodiment, the semiconductor device comprises a substrate, a diffusion stop layer formed on the substrate, an SOI layer formed on the diffusion stop layer, an MOSFET transistor formed on the SOI layer, and a TSV formed in a manner of penetrating through the substrate, the diffusion stop layer, the SOI layer, and a layer where the MOSFET transistor is located; and an interconnect structure connecting the MOSFET transistor and the TSV.
    Type: Application
    Filed: June 22, 2010
    Publication date: September 22, 2011
    Applicant: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES
    Inventor: Huilong Zhu
  • Publication number: 20110227150
    Abstract: According to one embodiment, a semiconductor device includes a semiconductor layer of a first conductivity type, a first semiconductor region, a second semiconductor region, a gate electrode, a first electrode layer, an insulating member and a second electrode layer. The first semiconductor region of a second conductivity type is provided on a surface of the semiconductor layer. The second semiconductor region of the first conductivity type is selectively provided on a surface of the first semiconductor region. The gate electrode opposes the first semiconductor region and the second semiconductor region via a gate insulating film. The first electrode layer is electrically connected to the first semiconductor region and the second semiconductor region. The insulating member is embedded in a recess formed in a surface of the first electrode layer. The second electrode layer is provided on the first electrode layer and the insulating member.
    Type: Application
    Filed: September 20, 2010
    Publication date: September 22, 2011
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Katsumi HORITA
  • Publication number: 20110227139
    Abstract: An object is to provide a technique to manufacture an insulating film having excellent film characteristics. In particular, an object is to provide a technique to manufacture a dense insulating film with a high withstand voltage. Moreover, an object is to provide a technique to manufacture an insulating film with few electron traps. An insulating film including oxygen is subjected to plasma treatment using a high frequency under the conditions where the electron density is 1×1011 cm?3 or more and the electron temperature is 1.5 eV or less in an atmosphere including oxygen.
    Type: Application
    Filed: May 23, 2011
    Publication date: September 22, 2011
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Tetsuya KAKEHATA, Tetsuhiro TANAKA, Yoshinobu ASAMI
  • Publication number: 20110227637
    Abstract: A method and apparatus are disclosed for use in improving the gate oxide reliability of semiconductor-on-insulator (SOI) metal-oxide-silicon field effect transistor (MOSFET) devices using accumulated charge control (ACC) techniques. The method and apparatus are adapted to remove, reduce, or otherwise control accumulated charge in SOI MOSFETs, thereby yielding improvements in FET performance characteristics. In one embodiment, a circuit comprises a MOSFET, operating in an accumulated charge regime, and means for controlling the accumulated charge, operatively coupled to the SOI MOSFET. A first determination is made of the effects of an uncontrolled accumulated charge on time dependent dielectric breakdown (TDDB) of the gate oxide of the SOI MOSFET. A second determination is made of the effects of a controlled accumulated charge on TDDB of the gate oxide of the SOI MOSFET.
    Type: Application
    Filed: February 15, 2011
    Publication date: September 22, 2011
    Inventors: Michael A. Stuber, Christopher N. Brindle, Dylan J. Kelly, Clint L. Kemerling, George P. Imthurn, Mark L. Burgener, Alexander Dribinsky, Tae Youn Kim
  • Patent number: 8022410
    Abstract: A thin film transistor includes a layer structure having a gate electrode, a gate insulation layer and a channel layer. A source line may contact the channel layer, and may extend along a direction crossing over the gate electrode. The source line may partially overlap the gate electrode so that both sides of the source line overlapping the gate electrode may be entirely positioned between both sides of the gate electrode. A drain line may make contact with the channel layer and may be spaced apart from the source line by a channel length. The drain line may have a structure symmetrical to that of the source line. Overlap areas among the gate electrode, the source line and the drain line may be reduced, so that the thin film transistor may ensure a high cut-off frequency.
    Type: Grant
    Filed: July 6, 2009
    Date of Patent: September 20, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Hun Jeon, Moon-Sook Lee, Byeong-Ok Cho
  • Publication number: 20110220975
    Abstract: A semiconductor device is formed in a semiconductor layer. A gate stack is formed over the semiconductor layer and comprises a first conductive layer and a second layer over the first layer. The first layer is more conductive and provides more stopping power to an implant than the second layer. A species is implanted into the second layer. Source/drain regions are formed in the semiconductor layer on opposing sides of the gate stack. The gate stack is heated after the step of implanting to cause the gate stack to exert stress in the semiconductor layer in a region under the gate stack.
    Type: Application
    Filed: May 20, 2011
    Publication date: September 15, 2011
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventors: Brian A. Winstead, Konstantin V. Loiko, Voon-Yew Thean
  • Publication number: 20110220878
    Abstract: A thin film transistor (TFT) includes a substrate, and an active region on the substrate including source and drain regions at opposing ends of the active region, a lightly doped region adjacent to at least one of the source region and the drain region, a plurality of channel regions, and a highly doped region between two channel regions of the plurality of channel regions. The TFT includes a gate insulation layer on the active region, and a multiple gate electrode having a plurality of gate electrodes on the gate insulation layer, the plurality of channel regions being disposed below corresponding gate electrodes, and the source region and the drain region being disposed adjacent to outermost portions of the multiple gate electrode. The TFT includes a first interlayer insulation layer on the multiple gate electrode, and source and drain electrodes extending through the first interlayer insulation layer and contacting the respective source and drain regions.
    Type: Application
    Filed: November 2, 2010
    Publication date: September 15, 2011
    Inventors: Tak-Young Lee, Byoung-Keon Park, Yun-Mo Chung, Jong-Ryuk Park, Dong-Hyun Lee, Ki-Yong Lee, Jin-Wook Seo, Min-Jae Jeong, Young-Duck Son, Byung-Soo So, Seung-Kyu Park, Kil-Won Lee, Jae-Wan Jung
  • Publication number: 20110220993
    Abstract: A method for manufacturing a semiconductor device comprises forming a first spacer layer at sidewalls of one or more gate electrodes, forming a trench by etching an isolation insulating layer exposed between the gate electrodes, forming a second spacer layer on sidewalls of the gate electrodes and an inner surface of the trench and forming an interlayer insulating layer between the gate electrodes.
    Type: Application
    Filed: May 26, 2011
    Publication date: September 15, 2011
    Applicant: Hynix Semiconductor Inc.
    Inventor: Song Hyeuk IM