Coating With Electrically Or Thermally Conductive Material Patents (Class 438/584)
  • Patent number: 8642391
    Abstract: A method of forming, on a surface of a substrate, at least one hydrophilic attachment area for the purpose of self-assembling a component or a chip, in which a hydrophobic area, which delimits the hydrophilic attachment area, is produced.
    Type: Grant
    Filed: April 7, 2009
    Date of Patent: February 4, 2014
    Assignee: Commissariat a l'energie atomique et aux energies alternatives
    Inventors: Lea Di Cioccio, Francois Grossi, Pierric Gueguen, Laurent Vandroux
  • Patent number: 8637388
    Abstract: A heat generating component of a semiconductor device is located between two heavily doped semiconductor regions in a semiconductor substrate. The heat generating component may be a middle portion of a diode having a light doping, a lightly doped p-n junction between a cathode and anode of a silicon controlled rectifier, or a resistive portion of a doped semiconductor resistor. At least one thermally conductive via comprising a metal or a non-metallic conductive material is place directly on the heat generating component. Alternatively, a thin dielectric layer may be formed between the heat generating component and the at least one thermally conductive via. The at least one thermally conductive via may, or may not, be connected to a back-end-of-line metal wire, which may be connected to higher level of metal wiring or to a handle substrate through a buried insulator layer.
    Type: Grant
    Filed: January 16, 2013
    Date of Patent: January 28, 2014
    Assignee: International Business Machines Corporation
    Inventors: Michael J. Abou-Khalil, Robert J. Gauthier, Tom C. Lee, Junjun Li, Souvick Mitra, Christopher S. Putnam
  • Patent number: 8637387
    Abstract: A circuit and a method of layout-designing a circuit based on circuit information. The method includes generating layout information including a core region based on the circuit information, laying out an I/O circuit in a region other than the core region on the layout information based on the circuit information, determining a layout-permitted region of pads, which is included in regions other than the core region and a layout region of said I/O circuit, based on circuit information, and laying out the pads in the layout-permitted region.
    Type: Grant
    Filed: November 9, 2009
    Date of Patent: January 28, 2014
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Kenichi Ushiyama
  • Publication number: 20140008756
    Abstract: A method including providing a silicon-on-insulator (SOI) substrate including a SOI layer, a buried oxide layer, and a base layer; the buried oxide layer is located below the SOI layer and above the base layer, and the buried oxide layer insulates the SOI layer from the base layer; etching a deep trench into the SOI substrate, the deep trench having a sidewall and a bottom, the deep trench extends from a top surface of the SOI layer, through the buried oxide layer, down to a location within the base layer; forming a dielectric liner on the sidewall and the bottom of the deep trench; forming a conductive fill material on top of the dielectric liner and substantially filling the deep trench, the fill material being thermally conductive; and transferring heat from the SOI layer to the base layer via the fill material.
    Type: Application
    Filed: July 9, 2012
    Publication date: January 9, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Chengwen Pei, Gan Wang
  • Patent number: 8624381
    Abstract: A semiconductor module, comprises a package molding compound layer comprising an integrated circuit (IC) device embedded within a package molding compound, the integrated circuit device and the package molding compound having a common surface. Structures are formed to connect the semiconductor module to an external board, the structures electrically connected to the integrated circuit device. A layer is formed on the common surface, the layer comprising at least one integrated antenna structure, the integrated antenna structure being coupled to the IC device.
    Type: Grant
    Filed: March 22, 2013
    Date of Patent: January 7, 2014
    Assignee: Infineon Technologies AG
    Inventors: Rudolf Lachner, Linus Maurer, Maciej Wojnowski
  • Patent number: 8617970
    Abstract: The present invention relates to a method of manufacturing a semiconductor device by which the length of nanowires perpendicularly formed can be fabricated with high reproducibility. The method of manufacturing a semiconductor device includes the steps of forming a first layer; forming a stop layer on the first layer, the stop layer having a higher Young's modulus than the first layer; forming a recess by partially removing the first layer and the stop layer; growing nanowires in the recess; forming a planarizing layer; removing the planarizing layer to the level of the stop layer to expose the nanowires from the surface of the planarizing layer; and forming an electrode so as to be in contact with the upper ends of the nanowires.
    Type: Grant
    Filed: February 23, 2011
    Date of Patent: December 31, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventor: Makoto Koto
  • Publication number: 20130341644
    Abstract: In summary, a vertical metalized transition in the form of a via goes from the back side of a high thermal conductivity substrate and through any semiconductor layers thereon to a patterned metalized strip, with the substrate having a patterned metalized layer on the back side that is provided with a keep away zone dimensioned to provide impedance matching for RF energy coupled through the substrate to the semiconductor device while at the same time permitting the heat generated by the semiconductor device to flow through the high thermal conductivity substrate, through the back side of the substrate and to a beat sink.
    Type: Application
    Filed: July 18, 2012
    Publication date: December 26, 2013
    Applicant: BAE Systems Information and Electronic Systems Integration Inc.
    Inventors: Robert Actis, Pane-chane Chao, Bernard J. Schmanski, Anthony A. Immorlica, Kanin Chu, Robert J. Lender, JR., Dong Xu, Sue May Jessup
  • Publication number: 20130323918
    Abstract: A method for electron-beam patterning includes forming a conductive material layer on a substrate; forming a bottom anti-reflective coating (BARC) layer on the conductive material layer; forming a resist layer on the BARC layer; and directing an electron beam (e-beam) to the sensitive resist layer for an electron beam patterning process. The BARC layer is designed such that a top electrical potential of the resist layer is substantially zero during the e-beam patterning process.
    Type: Application
    Filed: June 1, 2012
    Publication date: December 5, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Wen-Chuan Wang, Shy-Jay Lin, Jaw-Jung Shin, Burn Jeng Lin
  • Patent number: 8598028
    Abstract: The present disclosure provides a method of fabricating a semiconductor device. The method includes forming a first gate structure over an iso region of a substrate and a second gate structure over a dense region of the substrate. The dense region has a greater pattern density than the iso region. The first and second gate structures each have a respective hard mask disposed thereon. The method includes removing the hard masks from the first and second gate structures. The removal of the hard mask from the second gate structure causes an opening to be formed in the second gate structure. The method includes performing a deposition process followed by a first polishing process to form a sacrificial component in the opening. The method includes performing a second polishing process to remove the sacrificial component and portions of the first and second gate structures.
    Type: Grant
    Filed: December 22, 2011
    Date of Patent: December 3, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Che-Hao Tu, Chi-Jen Liu, Tzu-Chung Wang, Weilun Hong, Ying-Tsung Chen, Liang-Guang Chen
  • Patent number: 8592291
    Abstract: A hexagonal boron nitride thin film is grown on a metal surface of a growth substrate and then annealed. The hexagonal boron nitride thin film is coated with a protective support layer and released from the metal surface. The boron nitride thin film together with the protective support layer can then be transferred to any of a variety of arbitrary substrates.
    Type: Grant
    Filed: April 7, 2011
    Date of Patent: November 26, 2013
    Assignee: Massachusetts Institute of Technology
    Inventors: Yumeng Shi, Jing Kong, Christoph Hamsen, Lain-Jong Li
  • Patent number: 8592294
    Abstract: Methods are provided herein for forming metal oxide thin films by atomic layer deposition. The metal oxide thin films can be deposited at high temperatures such that the thin film is crystalline as deposited. The metal oxide thin films can be used, for example, as dielectric oxides in transistors, flash devices, capacitors, integrated circuits, and other semiconductor applications.
    Type: Grant
    Filed: February 22, 2010
    Date of Patent: November 26, 2013
    Assignee: ASM International N.V.
    Inventors: Suvi Haukka, Hannu Huotari, Marko Tuominen
  • Patent number: 8580354
    Abstract: A plasma processing chamber particularly useful for pre-treating low-k dielectric films and refractory metal films subject to oxidation prior to deposition of other layers. A remote plasma source (RPS) excites a processing gas into a plasma and delivers it through a supply tube to a manifold in back of a showerhead faceplate. The chamber is configured for oxidizing and reducing plasmas in the same or different processes when oxygen and hydrogen are selectively supplied to the RPS. The supply tube and showerhead may be formed of dielectric oxides which may be passivated by a water vapor plasma from the remote plasma source. In one novel process, a protective hydroxide coating is formed on refractory metals by alternating neutral plasmas of hydrogen and oxygen.
    Type: Grant
    Filed: August 15, 2011
    Date of Patent: November 12, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Xinyu Fu, Jick M. Yu
  • Publication number: 20130285110
    Abstract: Select devices including an open volume that functions as a high bandgap material having a low dielectric constant are disclosed. The open volume may provide a more nonlinear, asymmetric I-V curve and enhanced rectifying behavior in the select devices. The select devices may comprise, for example, a metal-insulator-insulator-metal (MIIM) diode. Various methods may be used to form select devices and memory systems including such select devices. Memory devices and electronic systems include such select devices.
    Type: Application
    Filed: June 27, 2013
    Publication date: October 31, 2013
    Inventors: Bhaskar Srinivasan, Gurtej S. Sandhu
  • Patent number: 8568598
    Abstract: A manufacturing method of a tip type probe includes the steps of: forming on a substrate an etching mask of a shape similar to a shape of a top surface of a truncated pyramid; forming the truncated pyramid by subjecting the substrate to isotropic etching using the etching mask as a mask member; stopping the isotropic etching when an area of the top surface reaches an area capable of generating near-field light; and forming a metal film on at least some of the side surfaces of the truncated pyramid by allowing film forming particles to enter into a space between the etching mask and the side surfaces and adhere onto the truncated pyramid. The directivity of the film forming particles is controlled so that the metal film has a thickness that is reduced gradually from a bottom of the truncated pyramid toward the top surface.
    Type: Grant
    Filed: February 18, 2009
    Date of Patent: October 29, 2013
    Assignee: Seiko Instruments Inc.
    Inventors: Majung Park, Manabu Oumi
  • Publication number: 20130277810
    Abstract: Semiconductor devices are formed with through silicon vias extending into the semiconductor substrate from a backside surface for improved heat dissipation. Embodiments include forming a cavity in a backside surface of a substrate, the substrate including a gate stack on a frontside surface, and filling the cavity with a thermally conductive material.
    Type: Application
    Filed: April 23, 2012
    Publication date: October 24, 2013
    Applicant: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Juan Boon Tan, Yeow Kheng Lim, Shao Ning Yuan, Soh Yun Siah
  • Patent number: 8558299
    Abstract: Embodiments described herein provide a semiconductor device and methods and apparatuses of forming the same. The semiconductor device includes a substrate having a source and drain region and a gate electrode stack on the substrate between the source and drain regions. The gate electrode stack includes a conductive film layer on a gate dielectric layer, a refractory metal nitride film layer on the conductive film layer, a silicon-containing film layer on the refractory metal nitride film layer, and a tungsten film layer on the silicon-containing film layer. In one embodiment, the method includes positioning a substrate within a processing chamber, wherein the substrate includes a source and drain region, a gate dielectric layer between the source and drain regions, and a conductive film layer on the gate dielectric layer.
    Type: Grant
    Filed: June 9, 2011
    Date of Patent: October 15, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Yong Cao, Xianmin Tang, Srinivas Gandikota, Wei D. Wang, Zhendong Liu, Kevin Moraes, Muhammad M. Rasheed, Thanh X. Nguyen, Ananthkrishna Jupudi
  • Patent number: 8557697
    Abstract: Atomic layer deposition methods as described herein can be advantageously used to form a metal-containing layer on a substrate. For example, certain methods as described herein can form a strontium titanate layer that has low carbon content (e.g., low strontium carbonate content), which can result in layer with a high dielectric constant.
    Type: Grant
    Filed: September 29, 2010
    Date of Patent: October 15, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Bhaskar Srinivasan, John Smythe
  • Patent number: 8557681
    Abstract: A method for fabrication of a III-nitride film over a silicon wafer that includes forming control joints to allow for overall stress relief in the III-nitride film during the growth thereof.
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: October 15, 2013
    Assignee: International Rectifier Corporation
    Inventors: Thomas Herman, Robert Beach
  • Patent number: 8552498
    Abstract: A semiconductor device in which defects in characteristics due to electrostatic discharge is reduced and a method for manufacturing the semiconductor device are provided. The semiconductor device has at least one of these structures: (1) a structure in which a first and second insulating films are in direct contact with each other in a peripheral region of a circuit portion, (2) a structure in which a first and second insulators are closely attached to each other, and (3) a structure in which a first conductive layer and a second conductive layer are provided on outer surfaces of the first insulator and the second insulator, respectively, and electrical conduction between the first and second conductive layers is achieved at a side surface of the peripheral region. Note that the conduction at the side surface can be achieved by cutting a plurality of semiconductor devices into separate semiconductor devices.
    Type: Grant
    Filed: September 16, 2009
    Date of Patent: October 8, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shingo Eguchi, Yoshiaki Oikawa
  • Patent number: 8551851
    Abstract: This disclosure provides (a) methods of making an oxide layer (e.g., a dielectric layer) based on titanium oxide, to suppress the formation of anatase-phase titanium oxide and (b) related devices and structures. A metal-insulator-metal (“MIM”) stack is formed using an ozone pretreatment process of a bottom electrode (or other substrate) followed by an ALD process to form a TiO2 dielectric, rooted in the use of an amide-containing precursor. Following the ALD process, an oxidizing anneal process is applied in a manner is hot enough to heal defects in the TiO2 dielectric and reduce interface states between TiO2 and electrode; the anneal temperature is selected so as to not be so hot as to disrupt BEL surface roughness. Further process variants may include doping the titanium oxide, pedestal heating during the ALD process to 275-300 degrees Celsius, use of platinum or ruthenium for the BEL, and plural reagent pulses of ozone for each ALD process cycle.
    Type: Grant
    Filed: May 4, 2011
    Date of Patent: October 8, 2013
    Assignee: Intermolecular, Inc.
    Inventors: Hanhong Chen, Pragati Kumar, Sunil Shanker, Edward Haywood, Sandra Malhotra, Imran Hashim, Nobi Fuchigami, Prashant Phatak, Monica Mathur
  • Patent number: 8546201
    Abstract: A method of crystallizing a silicon layer and a method of manufacturing a thin film transistor using the same, the method of crystallizing the silicon layer including forming an amorphous silicon layer on a substrate; performing a hydrophobicity treatment on a surface of the amorphous silicon layer so as to obtain a hydrophobic surface thereon; forming a metallic catalyst on the amorphous silicon layer that has been subjected to the hydrophobicity treatment; and heat-treating the amorphous silicon layer including the metallic catalyst thereon to crystallize the amorphous silicon layer into a polycrystalline silicon layer.
    Type: Grant
    Filed: May 27, 2011
    Date of Patent: October 1, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventors: Yun-Mo Chung, Ki-Yong Lee, Jin-Wook Seo, Min-Jae Jeong, Yong-Duck Son, Byung-Soo So, Seung-Kyu Park, Byoung-Keon Park, Dong-Hyun Lee, Kil-Won Lee, Tak-Young Lee, Jong-Ryuk Park
  • Publication number: 20130241025
    Abstract: An embodiment of an electronic system may be provided so as to have superior coupling by implementing a communication mechanism that provides at least for horizontal communication for example, on the basis of wired and/or wireless communication channels, in the system. Hence, by enhancing vertical and horizontal communication capabilities in the electronic system, a reduced overall size may be achieved, while nevertheless reducing complexity in PCBs coupled to the electronic system. In this manner, overall manufacturing costs and reliability of complex electronic systems may be enhanced.
    Type: Application
    Filed: March 13, 2013
    Publication date: September 19, 2013
    Applicant: STMicroelectronics S.r.I.
    Inventor: Alberto PAGANI
  • Patent number: 8536037
    Abstract: Electrically responsive devices and methods for fabricating electrically responsive devices involves applying an electrically responsive material (e.g., an electroactive material) over at least a portion of a surface of a substrate material and applying an electrode material over at least a portion of a surface of the electrically responsive material. At least one region of the electrode material is selectively removed exposing the electrically responsive material. At least some of the electrically responsive material is selectively removed in a region corresponding to the at least one region of the electrode material.
    Type: Grant
    Filed: April 5, 2006
    Date of Patent: September 17, 2013
    Assignee: Bioscale, Inc.
    Inventors: Brett P. Masters, Michael F. Miller
  • Patent number: 8533634
    Abstract: A method of manufacturing an exposure mask includes generating or preparing flatness variation data relating to a mask blanks substrate to be processed into an exposure mask, the flatness variation data being data relating to change of flatness of the mask blank substrate caused when the mask blank substrate is chucked by a chuck unit of an exposure apparatus, generating position correction, data of a pattern to be drawn on the mask blanks substrate based on the flatness variation data such that a mask pattern of the exposure mask comes to a predetermined position in a state that the exposure mask is chucked by the chuck unit, and drawing a pattern on the mask blanks substrate, the drawing the pattern including drawing the pattern with correcting a drawing position of the pattern and inputting drawing data corresponding to the pattern and the position correction data into a drawing apparatus.
    Type: Grant
    Filed: March 8, 2010
    Date of Patent: September 10, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masamitsu Itoh
  • Patent number: 8524587
    Abstract: Provided is a method of planarizing a semiconductor device. The method includes providing a substrate. The method includes forming a first layer over the substrate. The method includes forming a second layer over the first layer. The first and second layers have different material compositions. The method includes forming a third layer over the second layer. The method includes performing a polishing process on the third layer until the third layer is substantially removed. The method includes performing an etch back process to remove the second layer and a portion of the first layer. Wherein an etching selectivity of the etch back process with respect to the first and second layers is approximately 1:1.
    Type: Grant
    Filed: February 4, 2013
    Date of Patent: September 3, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Neng-Kuo Chen, Jeff J. Xu
  • Patent number: 8525275
    Abstract: A non-volatile memory device includes a dielectric layer between a charge storage layer and a substrate. Free bonds of the dielectric layer can be reduced to reduce/prevent charges from leaking through the free bonds and/or from being trapped by the free bonds. As a result, data retention properties and/or durability of a non-volatile memory device may be enhanced.
    Type: Grant
    Filed: November 1, 2010
    Date of Patent: September 3, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Hwa Heo, Chul-Sung Kim, Bon-Young Koo, Ki-Hyun Hwang, Chang-Hyun Lee
  • Patent number: 8518829
    Abstract: A method of forming a nanopore array includes patterning a front layer of a substrate to form front trenches, the substrate including a buried layer disposed between the front layer and a back layer; depositing a membrane layer over the patterned front layer and in the front trenches; patterning the back layer and the buried layer to form back trenches, the back trenches being aligned with the front trenches; forming a plurality of nanopores through the membrane layer; depositing a sacrificial material in the front trenches and the back trenches; depositing front and back insulating layers over the sacrificial material; and heating the sacrificial material to a decomposition temperature of the sacrificial material to remove the sacrificial material and form pairs of front and back channels, wherein the front channel of each channel pair is connected to the back channel of its respective channel pair by an individual nanopore.
    Type: Grant
    Filed: April 22, 2011
    Date of Patent: August 27, 2013
    Assignee: International Business Machines Corporation
    Inventors: Bing Dang, Hongbo Peng
  • Patent number: 8513122
    Abstract: A method forms an integrated circuit structure. The method patterns a protective layer over a first-type field effect transistor and removes a stress liner from above a second-type field effect transistors. Then, the method removes a first-type silicide layer from source and drain regions of the second-type field effect transistor, but leaves at least a portion of the first-type silicide layer on the gate conductor of the second-type field effect transistor. The method forms a second-type silicide layer on the gate conductor and the source and drain regions of the second-type field effect transistor. The second-type silicide layer that is formed is different than the first-type silicide layer. For example, the first-type silicide layer and the second-type silicide layer can comprise different materials, different thicknesses, different crystal orientations, and/or different chemical phases, etc.
    Type: Grant
    Filed: February 5, 2013
    Date of Patent: August 20, 2013
    Assignee: International Business Machines Corporation
    Inventors: Christian Lavoie, Viorel C. Ontalus, Ahmet S. Ozcan
  • Patent number: 8507364
    Abstract: An object of the present invention is to realize, by the flux process, the production of a high-quality n-type semiconductor crystal having high concentration of electrons. The method of the invention for producing an n-type Group III nitride-based compound semiconductor by the flux process, the method including preparing a melt by melting at least a Group III element by use of a flux; supplying a nitrogen-containing gas to the melt; and growing an n-type Group III nitride-based compound semiconductor crystal on a seed crystal from the melt. In the method, carbon and germanium are dissolved in the melt, and germanium is incorporated as a donor into the semiconductor crystal, to thereby produce an n-type semiconductor crystal. The mole percentage of germanium to gallium in the melt is 0.05 mol % to 0.5 mol %, and the mole percentage of carbon to sodium is 0.1 mol % to 3.0 mol %.
    Type: Grant
    Filed: May 20, 2009
    Date of Patent: August 13, 2013
    Assignees: Toyoda Gosei Co., Ltd., NGK Insulators, Ltd., Osaka University
    Inventors: Seiji Nagai, Shiro Yamazaki, Yasuhide Yakushi, Takayuki Sato, Makoto Iwai, Katsuhiro Imai, Yusuke Mori, Yasuo Kitaoka
  • Patent number: 8501600
    Abstract: Methods for depositing germanium-containing layers on silicon-containing layers are provided herein. In some embodiments, a method may include depositing a first layer atop an upper surface of the silicon-containing layer, wherein the first layer comprises predominantly germanium (Ge) and further comprises a lattice adjustment element having a concentration selected to enhance electrical activity of dopant elements, wherein the dopant elements are disposed in at least one of the first layer or in an optional second layer deposited atop of the first layer, wherein the optional second layer, if present, comprises predominantly germanium (Ge). In some embodiments, the second layer is deposited atop the first layer. In some embodiments, the second layer comprises germanium (Ge) and dopant elements.
    Type: Grant
    Filed: July 25, 2011
    Date of Patent: August 6, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Errol Sanchez, Yi-Chiau Huang, David K. Carlson
  • Patent number: 8501595
    Abstract: Disclosed herein is a thin film prepared using a mixture of nanocrystal particles and a molecular precursor. The nanocrystal is used in the thin film as a nucleus for crystal growth to minimize grain boundaries of the thin film and the molecular precursor is used to form the same crystal structure as the nanocrystal particles, thereby improving the crystallinity of the thin film. The thin film can be used effectively in a variety of electronic devices, including thin film transistors, electroluminescence devices, memory devices, and solar cells. Further disclosed is a method for preparing the thin film.
    Type: Grant
    Filed: December 6, 2006
    Date of Patent: August 6, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Eun Joo Jang, Hyun Dam Jeong, Shin Ae Jun, Jong Baek Seon
  • Patent number: 8497197
    Abstract: A method for manufacturing a semiconductor structure includes providing an n-type field effect transistor comprising a source region, a drain region, and a first gate; forming a tensile stress layer on the n-type field effect transistor; removing the first gate so as to form a gate opening; performing an anneal so that the source region and the drain region memorize a stress induced by the tensile stress layer; forming a second gate; removing the tensile stress layer; and forming an interlayer dielectric layer on the n-type field effect transistor. A replacement process is combined with a stress memorization technique for enhancing the stress memorization effect and increasing mobility of electrons, which in turn improves overall properties of the semiconductor structure.
    Type: Grant
    Filed: September 26, 2010
    Date of Patent: July 30, 2013
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Huilong Zhu, Haizhou Yin, Zhijiong Luo
  • Patent number: 8492256
    Abstract: A method of manufacturing a semiconductor apparatus includes forming back surface electrode 4 on back surface of semiconductor wafer 20, that bends convexly toward the front surface side due to back surface electrode 4 being formed; treating the back surface with a plasma for removing the deposits on the back surface; sticking removable adhesive tape 23 to the back surface along the warp thereof for maintaining the bending state of semiconductor wafer 20 after the step of sticking; electrolessly plating to form film 26 on the front surface of semiconductor wafer 20; peeling off removable adhesive tape 23; cutting out semiconductor chips; and mounting the semiconductor chip by bonding with a solder for manufacturing a semiconductor apparatus. The manufacturing method prevents external appearance anomalies from occurring on the back surface electrode, improves the reliability, and allows manufacture of the semiconductor apparatuses with a high throughput of non-defective products.
    Type: Grant
    Filed: April 12, 2011
    Date of Patent: July 23, 2013
    Assignee: Fuji Electric Co., Ltd.
    Inventor: Yuichi Urano
  • Patent number: 8486833
    Abstract: Disclosed herein are a variety of microfluidic devices and solid, typically electrically conductive devices that can be formed using such devices as molds. In certain embodiments, the devices that are formed comprise conductive pathways formed by solidifying a liquid metal present in one or more microfluidic channels (such devices hereinafter referred to as “microsolidic” devices). In certain such devices, in which electrical connections can be formed and/or reformed between regions in a microfluidic structure; in some cases, the devices/circuits formed may be flexible and/or involve flexible electrical components. In certain embodiments, the solid metal wires/conductive pathways formed in microfluidic channel(s) may remain contained within the microfluidic structure. In certain such embodiments, the conductive pathways formed may be located in proximity to other microfluidic channel(s) of the structure that carry flowing fluid, such that the conductive pathway can create energy (e.g.
    Type: Grant
    Filed: May 18, 2006
    Date of Patent: July 16, 2013
    Assignee: President and Fellows of Harvard College
    Inventors: Derek A. Bruzewicz, Mila Boncheva-Bettex, George M. Whitesides, Adam Siegel, Douglas B. Weibel, Sergey S. Shevkoplyas, Andres Martinez
  • Patent number: 8486306
    Abstract: A nickel ink having nickel particles dispersed in a dispersion medium is disclosed. The dispersion medium comprises one member or a combination of two or more members selected from the group consisting of an alcohol and a glycol both having a boiling point of 300° C. or lower at atmospheric pressure. The nickel particles have an average primary particle size of 50 nm or smaller. The nickel ink provides a conductor film with a surface smoothness having an average surface roughness Ra of 10 nm or smaller and a maximum surface roughness Rmax of 200 nm or smaller.
    Type: Grant
    Filed: October 27, 2006
    Date of Patent: July 16, 2013
    Assignee: Mitsui Mining & Smelting Co., Ltd.
    Inventors: Yoichi Kamikoriyama, Hiroki Sawamoto, Mikimasa Horiuchi
  • Patent number: 8487424
    Abstract: An integrated circuit assembly is fabricated on a metal substrate strip in an array format that has raised circuitry pattern formed by photolithographic and metal etching processes. The circuitry pattern is formed on one side of the metal substrate only. The raised circuitry's etch depth extends partially through the metal substrate. Die attachment can be performed using a non-conductive material applied directly onto and around the raised circuitry features directly under the die. After wirebond and molding processes, the molded metal substrate strip assembly is processed through a metal etching process to remove the metal substrate portion that is exposed beyond the mold cap. A solder mask coating can be applied to protect the metal circuitry and to define the package pad opening to form Land-Grid-Array (LGA) packages. Solder balls can also be attached to form Ball-Grid-Array (BGA) packages.
    Type: Grant
    Filed: March 21, 2012
    Date of Patent: July 16, 2013
    Assignee: ATMEL Corporation
    Inventor: Ken Lam
  • Patent number: 8482817
    Abstract: An image reading apparatus capable of reading documents includes a reading unit including a light emitting element, a mechanism configured to move the reading unit, and a control unit configured to control the reading unit and the mechanism both to carry out reading by turning on the light emitting element and moving the reading unit and to temporarily stop moving the reading unit upon occurrence of a predetermined factor. The control unit sets a first current value which is caused to flow through the light emitting element when reading is carried out and sets a second current value which is less than the first current value and which is caused to flow through the light emitting element when the reading unit is temporarily stopped.
    Type: Grant
    Filed: April 23, 2010
    Date of Patent: July 9, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kenji Kubozono, Yasuyuki Shinada
  • Patent number: 8476155
    Abstract: Provided are a method of forming a dielectric and a method of fabricating a semiconductor device. The method includes forming a preliminary dielectric including Hf, O and an “A” element on an underlying layer. The preliminary dielectric is formed in an amorphous structure or a mixed structure of an amorphous structure and an “M” crystalline structure. The “A” element about 1 at % to about 5 at % of the total content of the “A” element and Hf in the preliminary dielectric. Through a nitridation process, nitrogen is added to the preliminary dielectric. The nitrogen-containing dielectric is changed into a dielectric having a “T” crystalline structure through a phase transition process, wherein the “T” crystalline structure is different from the “M” crystalline structure. An upper layer is formed on the “T” crystalline dielectric.
    Type: Grant
    Filed: July 14, 2010
    Date of Patent: July 2, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ha-Jin Lim, Weon-Hong Kim
  • Patent number: 8470701
    Abstract: Various heat-sinked components and methods of making heat-sinked components are disclosed where diamond in thermal contact with one or more heat-generating components are capable of dissipating heat, thereby providing thermally-regulated components. Thermally conductive diamond is provided in patterns capable of providing efficient and maximum heat transfer away from components that may be susceptible to damage by elevated temperatures. The devices and methods are used to cool flexible electronics, integrated circuits and other complex electronics that tend to generate significant heat. Also provided are methods of making printable diamond patterns that can be used in a range of devices and device components.
    Type: Grant
    Filed: April 3, 2009
    Date of Patent: June 25, 2013
    Assignees: Advanced Diamond Technologies, Inc.
    Inventors: John A. Rogers, Tae Ho Kim, Won Mook Choi, Dae Hyeong Kim, Matthew Meitl, Etienne Menard, John Carlisle
  • Patent number: 8467192
    Abstract: A method for producing a rollable web with successive antennas, where an electronic chip is attached to an antenna in a predetermined position. The position of an electronic chip changes with respect to the antenna when compared to at least some of the chips within individual and successive antennas. A rollable web includes successive antennas, where electronic chips are attached to antennas in a predetermined position. In the rollable web, the position of a chip changes with respect to the antenna compared to at least some of the chips within individual and successive antennas.
    Type: Grant
    Filed: April 20, 2009
    Date of Patent: June 18, 2013
    Assignee: Smartrac IP B.V.
    Inventor: Samuli Strömberg
  • Publication number: 20130146859
    Abstract: The invention relates to a method enabling to apply cheap manufacturing techniques for producing reliable and robust organic thin film device (EL) comprising the steps of providing (P) a transparent substrate (1) at least partly covered with a first layer stack comprising at least one transparent layer (2), preferably an electrically conductive layer, and a pattern of first and second opaque conductive areas (31, 32) deposited on top of the transparent layer (2), depositing (D) a photoresist layer (4) made of an electrically insulating photoresist resist material on top of the first layer stack at least fully covering the second opaque conductive areas (32), illuminating (IL) the photoresist layer (4) through the transparent substrate (1) with light (5) of a suitable wavelength to make the photoresist material soluble in the areas (43) of the photoresist layer (4) having no opaque conductive areas (31, 32) underneath, removing (R) the soluble areas (43) of the photoresist layer (4), heating (B) the areas (42)
    Type: Application
    Filed: July 29, 2011
    Publication date: June 13, 2013
    Applicant: KONINKLIJE PHILIPS ELECTRONICS N.V,
    Inventors: Sören Hartmann, Christoph Rickers, Herbert Friedrich Boerner, Herbert Lifka, Holger Schwab
  • Patent number: 8460967
    Abstract: A semiconductor module comprises components in one wafer level package. The module comprises an integrated circuit (IC) chip embedded within a package molding compound. The package comprises a molding compound package layer coupled to an interface layer for integrating an antenna structure and a bonding interconnect structure to the IC chip. The bonding interconnect structure comprises three dimensional interconnects. The antenna structure and bonding interconnect structure are coupled to the IC chip and integrated within the interface layer in the same wafer fabrication process.
    Type: Grant
    Filed: September 18, 2012
    Date of Patent: June 11, 2013
    Assignee: Infineon Technologies AG
    Inventors: Rudolf Lachner, Linus Maurer, Maciej Wojnowski
  • Publication number: 20130140634
    Abstract: A method of replacing semiconductor material with metal, Replacement Metal Gate Field Effect Transistors (RMG FETs) and Contacts (RMCs), and Integrated Circuit (IC) chips including the FETs and/or RMCs. A patterned semiconductor layer, e.g., silicon, is formed on a dielectric layer, e.g., a layered gate dielectric. A field dielectric layer fills between shapes in the patterned semiconductor layer. Metal is deposited on the shapes. The wafer is annealed to replace semiconductor in each shape with metal to form metal FET gates or contacts.
    Type: Application
    Filed: December 5, 2011
    Publication date: June 6, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kevin K. Chan, Christopher D'Emic, Young-Hee Kim, Dae-gyu Park, Jeng-Bang Yau
  • Patent number: 8455304
    Abstract: An integrated circuit assembly is fabricated on a metal substrate strip in an array format that has raised circuitry pattern formed by photolithographic and metal etching processes. The circuitry pattern is formed on one side of the metal substrate only. The raised circuitry's etch depth extends partially through the metal substrate. Die attachment can be performed using a non-conductive material applied directly onto and around the raised circuitry features directly under the die. After wirebond and molding processes, the molded metal substrate strip assembly is processed through a metal etching process to remove the metal substrate portion that is exposed beyond the mold cap. A solder mask coating can be applied to protect the metal circuitry and to define the package pad opening to form Land-Grid-Array (LGA) packages. Solder balls can also be attached to form Ball-Grid-Array (BGA) packages.
    Type: Grant
    Filed: July 30, 2010
    Date of Patent: June 4, 2013
    Assignee: Atmel Corporation
    Inventor: Ken Lam
  • Patent number: 8450197
    Abstract: Contact elements in the contact level of a semiconductor device may be formed on the basis of a selective deposition technique, such as electroless plating, wherein an efficient planarization of the contact level is achieved without subjecting the contact elements to undue mechanical stress. In some illustrative embodiments, an overfilling of the contact openings may be reliably avoided and the planarization of the surface topography is accomplished on the basis of a non-critical polishing process. In other cases, electrochemical etch techniques are applied in combination with a conductive sacrificial current distribution layer in order to remove any excess material of the contact elements without inducing undue mechanical stress.
    Type: Grant
    Filed: December 8, 2010
    Date of Patent: May 28, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Axel Preusse, Norbert Schroeder, Uwe Stoeckgen
  • Patent number: 8450191
    Abstract: Methods of forming polysilicon layers are described. The methods include forming a high-density plasma from a silicon precursor in a substrate processing region containing the deposition substrate. The described methods produce polycrystalline films at reduced substrate temperature (e.g. <500° C.) relative to prior art techniques. The availability of a bias plasma power adjustment further enables adjustment of conformality of the formed polysilicon layer. When dopants are included in the high density plasma, they may be incorporated into the polysilicon layer in such a way that they do not require a separate activation step.
    Type: Grant
    Filed: April 19, 2011
    Date of Patent: May 28, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Anchuan Wang, Xiaolin Chen, Young S. Lee
  • Patent number: 8445352
    Abstract: A problem in the conventional technique is that metal contamination on a silicon carbide surface is not sufficiently removed in a manufacturing method of a semiconductor device using a monocrystalline silicon carbide substrate. Accordingly, there is a high possibility that the initial characteristics of a manufactured silicon carbide semiconductor device are deteriorated and the yield rate is decreased. Further, it is conceivable that the metal contamination has an adverse affect even on the long-term reliability of a semiconductor device. In a manufacturing method of a semiconductor device using a monocrystalline silicon carbide substrate, there is applied a metal contamination removal process, on a silicon carbide surface, including a step of oxidizing the silicon carbide surface and a step of removing a film primarily including silicon dioxide formed on the silicon carbide surface by the step.
    Type: Grant
    Filed: November 11, 2008
    Date of Patent: May 21, 2013
    Assignee: Hitachi, Ltd.
    Inventors: Natsuki Yokoyama, Tomoyuki Someya
  • Patent number: 8440555
    Abstract: Effective fillability and the uniformity electrodeposition of a copper electroplating solution is judged by determining the time-dependent potential change thereof at a cathode current density of 0.1-20 A/dm2. The potential change is determined at a working electrode rotation of 100-7500 rpm, and the fillability with the solution is judged from the curve profile. In an embodiment of the present invention, the fillability is judged by obtaining the potential change speed in the initial stage of electrolysis and the potential convergent point from the time-dependent potential change curve for a predetermined period of time after the start of the electrolysis.
    Type: Grant
    Filed: October 12, 2010
    Date of Patent: May 14, 2013
    Assignee: Toppan Printing Co., Ltd.
    Inventors: Toshikazu Okubo, Katsuyoshi Naoi, Yuka Yamada
  • Patent number: 8440556
    Abstract: Forming conformal platinum-zinc films for semiconductor devices is described. In one example, a conformal film is formed by heating a substrate in a reaction chamber, exposing a desired region of the substrate to a precursor that contains platinum, purging excess precursor from the chamber, exposing the desired region of the substrate to a co-reactant containing zinc to cause a reaction between the precursor and the co-reactant to form a platinum zinc film on the desired region, and purging the chamber of excess reaction by-products.
    Type: Grant
    Filed: December 22, 2010
    Date of Patent: May 14, 2013
    Assignee: Intel Corporation
    Inventors: Scott Bruce Clendenning, Niloy Mukherjee
  • Patent number: 8440554
    Abstract: A method includes forming through vias in a substrate of an array. Nubs of the through vias are exposed from a backside surface of the substrate. A backside passivation layer is applied to enclose the nubs. Laser-ablated artifacts are formed in the backside passivation layer to expose the nubs. Circuit features are formed within the laser-ablated artifacts. By forming the circuit features within the laser-ablated artifacts in the backside passivation layer, the cost of fabricating the array is minimized. More particularly, the number of operations to form the embedded circuit features is minimized thus minimizing fabrication cost of the array.
    Type: Grant
    Filed: August 2, 2010
    Date of Patent: May 14, 2013
    Assignee: Amkor Technology, Inc.
    Inventors: David Jon Hiner, Ronald Patrick Huemoeller