Differential Fluid Etching Apparatus Patents (Class 156/345.1)
  • Publication number: 20120145326
    Abstract: A plasma processing chamber has a lower liner with an integrated flow equalizer. In an etching process, the processing gases may be unevenly drawn from the processing chamber which may cause an uneven etching of the substrate. The integrated flow equalizer is configured to equalize the flow of the processing gases evacuated from the chamber via the lower liner.
    Type: Application
    Filed: February 21, 2012
    Publication date: June 14, 2012
    Inventors: James D. CARDUCCI, Andrew NGUYEN, Ajit BALAKRISHNA, Michael C. KUTNEY
  • Publication number: 20120138139
    Abstract: Systems and methods for improving surface reflectance of silicon wafers are disclosed. The systems and methods improve surface reflectance by forming a textured surface on the silicon wafer by performing surface oxidation and dry etching processes. The surface oxidation maybe performed using a dry oxygen plasma process. A dry etch process is performed to remove the oxide layer formed by the surface oxidation step and etch the Silicon layer with oxide masking. Dry etching enables black silicon formation, which minimizes or eliminates light reflection or scattering, eventually leading to higher energy conversion efficiency.
    Type: Application
    Filed: November 1, 2011
    Publication date: June 7, 2012
    Applicant: INTEVAC, INC.
    Inventor: Young Kyu CHO
  • Publication number: 20120132365
    Abstract: There is provided a semiconductor device manufacturing apparatus capable of recovering a damage of a low dielectric insulating film exposed to CO2 plasma to obtain the low dielectric insulating film in a good state, thus improving performance and reliability of a semiconductor device. The semiconductor device manufacturing apparatus includes: an etching processing mechanism for performing an etching process that etches a low dielectric insulating film formed on a substrate; a CO2 plasma processing mechanism for performing a CO2 plasma process that exposes the substrate to CO2 plasma after the etching process; a polarization reducing mechanism for performing a polarization reducing process that reduces polarization in the low dielectric insulating film after the CO2 plasma process; and a transfer mechanism for transferring the substrate.
    Type: Application
    Filed: December 19, 2011
    Publication date: May 31, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Ryuichi Asako, Gousuke Shiraishi, Shigeru Tahara
  • Patent number: 8187384
    Abstract: Disclosed herein is a vacuum processing apparatus for performing a desired process for a substrate after establishing a vacuum atmosphere therein. More particularly, the vacuum processing apparatus includes a vacuum chamber, which is divided into a chamber body and an upper cover. The upper cover is configured to be easily opened away from and closed to the chamber body.
    Type: Grant
    Filed: October 14, 2009
    Date of Patent: May 29, 2012
    Assignee: Advanced Display Process Engineering Co. Ltd.
    Inventors: Young Jong Lee, Jun Young Choi, Hyoung-Kyu Son, Jeong-Bin Lee, Gyeong-Hoon Kim, Hyung-Soo Kim, Myung-Woo Han
  • Publication number: 20120125891
    Abstract: Provided are a plasma processing apparatus and a plasma processing method wherein particles generated due to the inner potential of an inner cylinder disposed inside of a vacuum container are reduced. The plasma processing apparatus has, inside of a metal vacuum chamber (11), the inner cylinder (15) composed of a surface-alumited aluminum, disposes a substrate in a plasma diffusion region, and performs plasma processing. A plurality of protruding portions (15a) in point-contact with the vacuum chamber (11) are provided on the lower end portion of the inner cylinder (15), the alumite film (16) on the leading end portion (15b) of each of the protruding portion (15a) is removed, and the inner cylinder and the vacuum chamber (11) are electrically connected to each other.
    Type: Application
    Filed: May 24, 2010
    Publication date: May 24, 2012
    Applicant: MITSUBISHI HEAVY INDUSTRIES, LTD.
    Inventors: Ryuichi Matsuda, Kazuto Yoshida, Yuichi Kawano
  • Patent number: 8182660
    Abstract: A power supply apparatus includes a power supply mechanism which supplies, from an external power supply, electric power to be supplied to an electrostatic chuck. The power supply mechanism includes a first conductive annular member fixed to the end portion of a strut, and capable of rotating together with the strut, a second conductive annular member fixed to a housing, and brought into surface contact with the first conductive annular member, and a first power supply member which supplies a supplied first voltage to an electrode of the electrostatic chuck via the second conductive annular member and the first conductive annular member.
    Type: Grant
    Filed: November 26, 2007
    Date of Patent: May 22, 2012
    Assignee: Canon Anelva Corporation
    Inventors: Yasushi Miura, Kazunari Sekiya
  • Patent number: 8171877
    Abstract: A carrier assembly is provided comprising a backside mounted electrode carrier and electrode mounting hardware. The backside mounted electrode carrier comprises an electrode accommodating aperture, which in turn comprises a sidewall structure that is configured to limit lateral movement of an electrode positioned in the aperture. The electrode accommodating aperture further comprises one or more sidewall projections that support the weight of an electrode positioned in the aperture. The electrode mounting hardware is configured to engage an electrode positioned in the electrode accommodating aperture from the backside of the carrier and urge the electrode against the sidewall projections so as to limit axial movement of the electrode in the electrode accommodating aperture. Additional embodiments of broader and narrower scope are contemplated.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: May 8, 2012
    Assignee: Lam Research Corporation
    Inventors: Jason Augustino, Armen Avoyan, Yan Fang, Duane Outka, Hong Shih, Stephen Whitten
  • Publication number: 20120103518
    Abstract: A film formation apparatus includes a gas supply mechanism for supplying an aminosilane-based gas, and a silane-based gas that does not include an amino group. Processes of forming a seed layer on a surface of the insulation film having the opening reaching the conductive substance and on a bottom surface of the opening by supplying the aminosilane-based gas into the process chamber, and forming a silicon film on the seed layer by supplying the silane-based gas that does not include the amino group into the process chamber, are sequentially performed in the process chamber.
    Type: Application
    Filed: October 28, 2011
    Publication date: May 3, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Akinobu KAKIMOTO, Katsuhiko KOMORI, Kazuhide HASEBE
  • Publication number: 20120097328
    Abstract: Described is equipment for depositing materials by evaporation using a molecular beam and equipment for fabricating semiconductor wafers, including a central conveyor module having a plurality of lateral ports capable of functioning under vacuum pressure conditions above 10?8 Torr. The semiconductor wafer fabrication equipment includes a loader module and one or more substrate treatment modules functioning under vacuum pressure conditions above 10?8 Torr, each treatment module being connected to one of the ports of the central conveyor module. The fabrication equipment includes at least one module for depositing materials by evaporation using a molecular beam operating under vacuum pressure conditions below 10?8 Torr, the molecular beam deposition module being connected to one of the ports of the central conveyor module and being capable of receiving the substrate in order to deposit a layer of materials on its face to be treated.
    Type: Application
    Filed: June 23, 2010
    Publication date: April 26, 2012
    Applicant: RIBER
    Inventors: Jerome Villette, Valerick Cassagne, Michel Picault
  • Publication number: 20120097870
    Abstract: Apparatus for forming a magnetic field and methods of use thereof are provided herein. In some embodiments, a plurality of coils having substantially similar dimensions disposed about a process chamber in a symmetric pattern centered about a central axis of the process chamber, wherein the plurality of coils are configured to produce a magnetic field having a plurality of magnetic field lines that are substantially planar and substantially parallel. In some embodiments, the plurality of coils comprises eight coils disposed about the process chamber, wherein each of the eight coils is offset by an angle of about 45 degrees from respective adjacent coils of the eight coils.
    Type: Application
    Filed: April 29, 2011
    Publication date: April 26, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: GARY LERAY, SHAHID RAUF, VALENTIN N. TODOROW
  • Patent number: 8152926
    Abstract: Disclosed herein is a vacuum processing apparatus for performing a desired process for a substrate after establishing a vacuum atmosphere therein. More particularly, the vacuum processing apparatus includes a vacuum chamber, which is divided into a chamber body and an upper cover. The upper cover is configured to be easily opened away from and closed to the chamber body.
    Type: Grant
    Filed: October 14, 2009
    Date of Patent: April 10, 2012
    Assignee: Advanced Display Process Engineering Co. Ltd.
    Inventors: Young Jong Lee, Jun Young Choi, Hyoung-Kyu Son, Jeong-Bin Lee, Gyeong-Hoon Kim, Hyung-Soo Kim, Myung-Woo Han
  • Publication number: 20120070988
    Abstract: A method for removing material from surfaces of at least a portion of at least one recess or at least one aperture extending into a surface of a substrate includes pressurizing fluid so as to cause the fluid to flow into the at least one recess or at least one aperture. The fluid may be pressurized by generating a pressure differential across the substrate, which causes the fluid to flow into or through the at least one aperture or recess. Apparatus for pressurizing fluid so as to cause it to flow into or through recesses or apertures in a substrate are also disclosed.
    Type: Application
    Filed: November 29, 2011
    Publication date: March 22, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Ross S. Dando
  • Publication number: 20120064720
    Abstract: Provided is a method of planarizing a semiconductor device. The method includes providing a substrate. The method includes forming a first material layer on the substrate. The method includes forming a second material layer over the first material layer. The second material layer is softer than the first material layer and has an exposed surface that is not in contact with the first material layer. The method includes flattening the second material layer without removing a portion of the second material layer. The flattening is carried out in a manner such that the exposed surface is substantially flat after the flattening. The method includes performing an etch back process to remove the second material layer and a portion of the first material layer. Wherein an etching selectivity of the etch back process with respect to the first and second material layers is approximately 1:1.
    Type: Application
    Filed: September 10, 2010
    Publication date: March 15, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Neng-Kuo Chen, Jeff J. Xu
  • Publication number: 20120064708
    Abstract: In a method for forming a stacked substrate of a MOS (Metal Oxide Semiconductor) structure including an oxide film serving as a gate insulating film formed on a semiconductor material layer having a film or substrate shape; and a conductive film serving as a gate electrode formed on the oxide film, a polysilane film on the semiconductor material layer is formed by coating a polysilane solution on a surface of a substrate to which the semiconductor material layer is exposed. A film containing metal ions is formed on the polysilane film by coating a metal salt solution thereon, and the polysilane film and the film containing metal ions are respectively modified into a polysiloxane film and a film containing fine metal particles to form the stacked substrate.
    Type: Application
    Filed: September 8, 2011
    Publication date: March 15, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hidenori MIYOSHI, Shuji Azumo
  • Publication number: 20120064660
    Abstract: Disclosed is an apparatus and method for manufacturing a thin film type solar cell, which enables the enhancement of productivity, the apparatus for manufacturing a thin film type solar cell including a first electrode forming unit; a first separation part; an optoelectric conversion layer forming unit; a contact line forming unit; a printing unit; and an etching process unit, wherein the etching process unit removes the optoelectric conversion layer in a second separation part to expose the first electrode in the second separation part through a wet etching process.
    Type: Application
    Filed: September 8, 2011
    Publication date: March 15, 2012
    Inventor: Cheol Hoon YANG
  • Publication number: 20120061021
    Abstract: In the present invention, a plurality of rounds of patterning are performed on a substrate. In a patterning system, the substrate on which a first round of patterning has been performed is transferred to a planarizing film forming unit, where a planarizing film is formed above the substrate. The substrate is then transferred to the patterning system and subjected to a second round of patterning. The time from the completion of the forming processing of the planarizing film to the start of the second round of patterning is managed to be constant among the substrates. According to the present invention, in the pattern forming processing of performing a plurality of rounds of patterning, a pattern with a desired dimension can be stably formed above the substrate.
    Type: Application
    Filed: November 18, 2011
    Publication date: March 15, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hideharu Kyouda, Junichi Kitano, Osamu Miyahara, Kenji Tsutsumi
  • Publication number: 20120055633
    Abstract: An apparatus for formation of element(s) of an electrochemical cell using a complete process. The apparatus includes a first work piece configured to a transfer device, a source of material in fluid form, a reaction region operably coupled to the source of material and a second work piece configured within a vicinity of the reaction region. The apparatus also has an energy source configured to the reaction region to subject a portion of the material to energy to substantially evaporate the portion of the material within a time period and cause deposition of a gaseous species derived from the evaporated material onto a surface region of the second work piece to form a thickness of material for a component of the solid state electrochemical device and a vacuum chamber to maintain at least the first and second work pieces, the reaction region, and the material within a vacuum environment.
    Type: Application
    Filed: November 9, 2011
    Publication date: March 8, 2012
    Applicant: Sakti3, Inc.
    Inventors: Hyoncheol KIM, Marc LANGLOIS, Myoungdo CHUNG, Ann Marie SASTRY
  • Patent number: 8123860
    Abstract: An apparatus for cyclical depositing of thin films on semiconductor substrates, comprising a process chamber having a gas distribution system with separate paths for process gases and an exhaust system synchronized with operation of valves dosing the process gases into a reaction region of the chamber.
    Type: Grant
    Filed: October 30, 2008
    Date of Patent: February 28, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Randhir P. S. Thakur, Alfred W. Mak, Ming Xi, Walter Benjamin Glenn, Ahmad A. Khan, Ayad A. Al-Shaikh, Avgerinos V. Gelatos, Salvador P. Umotoy
  • Publication number: 20120045867
    Abstract: An anti-reflective surface on a photovoltaic can reduce optical reflection.
    Type: Application
    Filed: August 22, 2011
    Publication date: February 23, 2012
    Inventors: Benyamin Buller, Markus Gloeckler, Yu Yang
  • Publication number: 20120043021
    Abstract: A plasma confinement assembly for a semiconductor processing chamber is provided. The assembly includes a plurality of confinement rings disposed over each other, and each of the plurality of confinement rings is separated by a space. A plunger moveable in a plane substantially orthogonal to the confinement rings. A proportional adjustment support is provided and coupled to the plunger. The proportional adjustment support is configured to move the confinement rings to one or more positions, such that the plunger is settable in positions along the plane. The positions define the space separating confinement rings, and the space is proportionally set between the confinement rings. The proportional adjustment support is defined by a plurality of support legs, and each of the support legs is pivotably interconnected with at least one other support leg.
    Type: Application
    Filed: October 28, 2011
    Publication date: February 23, 2012
    Inventor: Peter Cirigliano
  • Publication number: 20120037491
    Abstract: In one embodiment, the antenna for inductively coupled plasma generation includes a first end connected to an alternating current (AC) power supply, a second end connected to a ground terminal, and an antenna coil unit connected to the first end and the second end and configured to generate an induced electric field when power of the AC power supply is applied. The antenna coil unit includes one or more sub-coil units. The one or more sub-coil units generate a magnetic field in a region adjacent to the antenna coil unit in response to the applied power.
    Type: Application
    Filed: January 22, 2010
    Publication date: February 16, 2012
    Inventors: Young June Park, Il Wook Kim
  • Publication number: 20120024449
    Abstract: Parasitic plasma in voids in a component of a plasma processing chamber can be eliminated by covering electrically conductive surfaces in an interior of the voids with a sleeve. The voids can be gas holes, lift pin holes, helium passages, conduits and/or plenums in chamber components such as an upper electrode and a substrate support.
    Type: Application
    Filed: July 27, 2010
    Publication date: February 2, 2012
    Applicant: Lam Research Corporation
    Inventors: Anthony Ricci, Saurabh Ullal, Larry Martinez
  • Publication number: 20120018096
    Abstract: The invention relates to a plasma chamber (10, 20, 30) having a first receiving device for a substrate (14, 24, 34) fastened to a first side and having a plasma generation unit for generating a plasma in the plasma chamber, wherein the plasma generation unit is connected or can be connected to a high frequency voltage supply (11, 21, 31). The high frequency voltage supply is designed to generate a modulated, high-frequency alternating voltage and to output said voltage to the plasma generation unit. The plasma generation unit is designed to generate the plasma using the modulated, high-frequency alternating voltage.
    Type: Application
    Filed: March 31, 2010
    Publication date: January 26, 2012
    Inventor: Roland Gesche
  • Publication number: 20120018094
    Abstract: A plasma processing apparatus for applying an etching processing to a wafer by using at least two steps of the etching processing which operate with plasma formed within a pressure-reduced processing chamber, the wafer being located within the processing chamber inside a vacuum vessel, and having a mask on a silicon-composed substrate and a film structure, the film structure including processing-target films located under the mask, wherein the plasma processing apparatus is equipped with a function for processing another different wafer in such a manner that a processing condition at a precedent-stage step of the two steps of the etching processing in the processing of the different wafer is adjusted based on a result obtained by detecting a time which has elapsed until termination of a subsequent-stage step of the two steps of the etching processing.
    Type: Application
    Filed: August 16, 2010
    Publication date: January 26, 2012
    Inventors: Daisuke SHIRAISHI, Akira Kagoshima, Satomi Inoue, Shigeru Nakamoto
  • Publication number: 20120012254
    Abstract: A gate valve cleaning method that can clean a gate valve that brings an atmospheric transfer chamber and an internal pressure variable transfer chamber that transfer a substrate into communication with each other or shuts them off from each other without bringing about a decrease in the throughput of a substrate processing system. Before the gate valve brings the atmospheric transfer chamber and the internal pressure variable transfer chamber into communication with each other, the pressure in the internal pressure variable transfer chamber is increased so that the pressure in the internal pressure variable transfer chamber can become higher than the pressure in the atmospheric transfer chamber.
    Type: Application
    Filed: September 23, 2011
    Publication date: January 19, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Tsuyoshi MORIYA, Hiroyuki Nakayama, Keisuke Kondoh, Hiroki Oka
  • Publication number: 20120009829
    Abstract: In a plasma processing apparatus, a member for propagating high frequency from a high frequency power supply and/or to which the high frequency is applied. A power feed rod is electromagnetically shielded between a matching unit and a bottom plate of a chamber by a coaxial cylindrical conductor connected to a ground potential. A surface potential system disposed in an appropriate distance from the power feed rod in radius direction is installed in the cylindrical conductor, and measures in a non-contact state the electrostatic surface potential of the power feed rod through electrostatic capacitance and provides a controller with a surface potential detection signal including surface potential measurement value information. The controller performs a required signal processing or operation processing on the basis of the surface potential detection signal from the surface potential system, thereby obtaining the measurement value of the DC potential on the power feed rod.
    Type: Application
    Filed: September 20, 2011
    Publication date: January 12, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Satoshi MAEBASHI, Toshihiro Hayami, Naoyuki Umehara
  • Publication number: 20120000610
    Abstract: In accordance with example embodiments, a plasma processing apparatus includes a chamber configured to perform a plasma process, an upper plate on the chamber, an antenna under the upper plate and the antenna is configured to generate plasma in the chamber, an upper insulator between the upper plate and the antenna and the upper insulator covers a top of the antenna, a lower insulator covering a bottom of the antenna, an antenna support ring configured to fix the antenna to the upper plate, and a metal gasket adhered to the antenna support ring.
    Type: Application
    Filed: July 1, 2011
    Publication date: January 5, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jin Hyuk Choi, Sang Chul Han, Jong Il Kee, Young dong Lee, Guen Suk Lee, Seung Hun Oh
  • Publication number: 20120000605
    Abstract: A consumable isolation ring of a movable substrate support assembly is described. The consumable isolation ring is configured to be supported on a step of a movable ground ring fit around a fixed ground ring. The consumable isolation ring is configured to electrically isolate the movable ground ring from a dielectric ring of the movable substrate support assembly.
    Type: Application
    Filed: June 30, 2010
    Publication date: January 5, 2012
    Applicant: Lam Research Corporation.
    Inventors: Michael C. Kellogg, Alexei Marakhtanov, Rajinder Dhindsa
  • Publication number: 20120000886
    Abstract: The substrate processing apparatus includes a process chamber which accommodates a wafer and performs a plasma etching process on the wafer, an exhaust chamber which communicates with the process chamber, an exhaust plate which divides the process chamber from the exhaust chamber and prevents plasma inside the process chamber from leaking into the exhaust chamber, and an upper electrode plate arranged inside the exhaust chamber, wherein the exhaust plate includes a plurality of through holes, and the upper electrode plate includes a plurality of through holes, is capable of contacting the exhaust plate in parallel, and is capable of being spaced apart from the exhaust plate.
    Type: Application
    Filed: July 1, 2011
    Publication date: January 5, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masanobu HONDA, Kazuhiro KUBOTA, Yoshinobu OOYA, Masaru NISHINO
  • Publication number: 20120000606
    Abstract: A plasma processing tool comprises a plasma chamber configured to generate a plasma from a gas introduced into the chamber where the generated plasma has an electron plasma frequency. A plurality of electrodes disposed within the chamber. Each of the electrodes configured to create a rapidly-rising-electric-field pulse in a portion of the plasma contained in the chamber. Each of said rapidly-rising-electric-field pulses having a rise time substantially equal to or less than the inverse of the electron plasma frequency and a duration of less than the inverse of the ion plasma frequency. In this manner, the electron energy distribution in the generated plasma may be spatially and locally modified thereby affecting the density, composition and temperature of the species in the plasma and consequently the uniformity of the density and composition of ions and neutrals directed at a target substrate.
    Type: Application
    Filed: July 2, 2010
    Publication date: January 5, 2012
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Rajesh Dorai, Kamal Hadidi, Mayur Jagtap
  • Patent number: 8082878
    Abstract: Thermal evaporation apparatus for depositing of a material on a substrate, comprising material storage means; heating means to generate a vapor of the material in the material storage means; vapor outlet means comprising a vapor receiving pipe having vapor outlet passages, and emission reducing means arranged such that an external surface of the vapor outlet means directed to said substrate exhibits low emission, and wherein the apparatus further comprises pipe heating means in the interior of said vapor outlet means, wherein at least the surfaces of the material storage means, heating means, and emission reducing means and pipe heating means arranged to come into contact with the material vapor are of a corrosion-resistant material.
    Type: Grant
    Filed: April 20, 2007
    Date of Patent: December 27, 2011
    Assignee: Saint-Gobain Glass France
    Inventors: Volker Probst, Walter Stetter
  • Publication number: 20110308732
    Abstract: In accordance with one embodiment of the present disclosure, an electrode carrier assembly is provided including an electrode carrying annulus and a plurality of electrode mounting members. The electrode carrying annulus includes an electrode containment sidewall that forms an inner or outer radius of the electrode carrying annulus. The electrode carrying annulus further includes a plurality of radial sidewall projections that project radially away from the electrode containment sidewall. The radial sidewall projections each include an upward-facing tapered spacer including an upward-facing micro-mesa. The electrode mounting members each include a downward-facing tapered spacer including a downward-facing micro-mesa. The electrode mounting members are rotatably engaged with the electrode carrying annulus, and are configured to rotate between a free position and a bracketed position.
    Type: Application
    Filed: June 17, 2010
    Publication date: December 22, 2011
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Cliff La Croix, Armen Avoyan, Duane Outka, Catherine Zhou, Hong Shih
  • Publication number: 20110312157
    Abstract: Methods of dicing semiconductor wafers, each wafer having a plurality of integrated circuits, are described. A method includes forming a mask above the semiconductor wafer. The mask is composed of a layer covering and protecting the integrated circuits. The mask is patterned with a femtosecond-based laser scribing process to provide a patterned mask with gaps. The patterning exposes regions of the semiconductor wafer between the integrated circuits. The semiconductor wafer is then etched through the gaps in the patterned mask to singulate the integrated circuits.
    Type: Application
    Filed: June 15, 2011
    Publication date: December 22, 2011
    Inventors: Wei-Sheng Lei, Brad Eaton, Madhava Rao Yalamanchili, Saravjeet Singh, Ajay Kumar, James M. Holden
  • Publication number: 20110303634
    Abstract: A method and a system for manufacturing two-dimensional and three-dimensional nanostructures and nanodevices are described, wherein the formation of the nanostructure (of the nanodevice) on a target substrate is made, at a millimetric or super-millimetric distance from the substrate, by the deposition of material emitted in the form of an atomic/molecular beam having a selected pattern corresponding, at an enlarged scale, to the desired pattern of the nanostructure (nanodevice). The projection of the patterned beam through a diaphragm, associated with the substrate at a micrometric or sub-micrometric distance and having at least one shaped aperture of nanometric size, brings about the formation of a nanostructure pattern which is a convolution of the patterned beam with the diaphragm aperture.
    Type: Application
    Filed: May 14, 2009
    Publication date: December 15, 2011
    Inventors: Massimo Tormen, Roberto Gotter, Mauro Prasciolu
  • Publication number: 20110303361
    Abstract: Because an O-ring of synthetic resin is pyrolyzed in the atmosphere at a high temperature of 150° C. or more, the airtightness cannot be maintained. In an outside air shut-off container according to the present invention, an inert gas is supplied between an O-ring, which hermetically seals a process chamber and a cover member, and the outside air while a gas passage formed between the O-ring and the outside air is covered with a sealing cover. Additionally, an aluminum oxide layer is formed on a contact surface of the O-ring to increase the pyrolysis temperature of the O-ring.
    Type: Application
    Filed: June 6, 2011
    Publication date: December 15, 2011
    Inventors: Tadahiro OHMI, Yasuyuki Shirai, Hirohisa Imada, Tsutomu Yoshida
  • Publication number: 20110306213
    Abstract: A quartz window with an interior plenum is operable as a shutter or UV filter in a degas chamber by supplying the plenum with an ozone-containing gas. Pressure in the plenum can be adjusted to block UV light transmission into the degas chamber or adjust transmittance of UV light through the window. When the plenum is evacuated, the plenum allows maximum transmission of UV light into the degas chamber.
    Type: Application
    Filed: June 9, 2010
    Publication date: December 15, 2011
    Applicant: Lam Research Corporation
    Inventors: Yen-Kun Victor Wang, Shang-I Chou, Jason Augustino
  • Patent number: 8075691
    Abstract: Disclosed herein is a vacuum processing apparatus for performing a desired process for a substrate after establishing a vacuum atmosphere therein. More particularly, the vacuum processing apparatus includes a vacuum chamber, which is divided into a chamber body and an upper cover. The upper cover is configured to be easily opened away from and closed to the chamber body.
    Type: Grant
    Filed: October 14, 2009
    Date of Patent: December 13, 2011
    Assignee: Advanced Display Process Engineering Co. Ltd.
    Inventors: Young Jong Lee, Jun Young Choi, Hyoung-Kyu Son, Jeong-Bin Lee, Gyeong-Hoon Kim, Hyung-Soo Kim, Myung-Woo Han
  • Publication number: 20110300714
    Abstract: An assembly comprises a component of a plasma process chamber, a thermal source and a polymer composite therebetween exhibiting a phase transition between a high-thermal conductivity phase and a low-thermal conductivity phase. The temperature-induced phase change polymer can be used to maintain the temperature of the component at a high or low temperature during multi-step plasma etching processes.
    Type: Application
    Filed: June 7, 2010
    Publication date: December 8, 2011
    Applicant: Lam Research Corporation
    Inventors: Tom Stevenson, Michael Dickens
  • Publication number: 20110297640
    Abstract: A motheye mold fabrication method of an embodiment of the present invention includes: (a) anodizing a surface of an aluminum film or aluminum base via an electrode that is in contact with the surface, thereby forming a porous alumina layer which has a plurality of very small recessed portions; (b) after step (a), allowing the porous alumina layer to be in contact with an etchant, thereby enlarging the plurality of very small recessed portions of the porous alumina layer; and (c) after step (b), further anodizing the surface via the electrode to grow the plurality of very small recessed portions. In at least one example embodiment, step (b) is performed in such a controlled state that part of the electrode which is in contact with the surface in the etchant would not be exposed to the etchant. Thus, production of a defect due to nonuniform corrosion can be prevented.
    Type: Application
    Filed: February 16, 2010
    Publication date: December 8, 2011
    Applicant: SHARP KABUSHIKI KAISHA
    Inventors: Akinobu Isurugi, Kiyoshi Minoura
  • Publication number: 20110294249
    Abstract: Disclosed is a method for cleaning the substrate of a solar cell. The method includes: providing a single or poly crystalline substrate; performing a wet etching process such that the surface of the substrate is textured; performing an atmospheric pressure plasma cleaning process on the textured substrate; and forming p-n junction.
    Type: Application
    Filed: May 27, 2011
    Publication date: December 1, 2011
    Inventor: Seung-Yeop Myong
  • Publication number: 20110284163
    Abstract: A plasma processing apparatus includes a chamber for processing a substrate. A plasma generator is provided to generate plasma within the chamber. A window is provided in a sidewall of the chamber, and the window transmits light from the plasma within the chamber. A photocatalytic layer is provided on an inner surface of the window such that the photocatalytic layer is activated as a result of exposure to light from the plasma to decompose a residual product on the inner surface of the window.
    Type: Application
    Filed: April 14, 2011
    Publication date: November 24, 2011
    Inventors: Jun-Ho Yoon, Kyoung-Sub Shin, Woo-Seok Kim, Dong-Kwon Kim, Hyung-Yong Kim, Yong-Ho Jeon
  • Publication number: 20110284166
    Abstract: A plasma processing chamber has a lower liner with an integrated flow equalizer. In an etching process, the processing gases may be unevenly drawn from the processing chamber which may cause an uneven etching of the substrate. The integrated flow equalizer is configured to equalize the flow of the processing gases evacuated from the chamber via the lower liner.
    Type: Application
    Filed: July 27, 2011
    Publication date: November 24, 2011
    Inventors: JAMES D. CARDUCCI, ANDREW NGUYEN, AJIT BALAKRISHNA, MICHAEL C. KUTNEY
  • Publication number: 20110275220
    Abstract: The present invention is directed to a method and apparatus for etching various metals that may be used in semiconductor or integrated circuit processing through the use of non-halogen gases such as hydrogen, helium, or combinations of hydrogen and helium with other gases such as argon. In one exemplary embodiment of the present invention, in a reaction chamber, a substrate having a metal interconnect layer deposited thereon is exposed to a plasma formed of non-halogen gas. The plasma generated is maintained for a certain period of time to provide for a desired or expected etching of the metal. In some embodiments, the metal interconnect layer may be copper, gold or silver.
    Type: Application
    Filed: May 10, 2011
    Publication date: November 10, 2011
    Applicant: GEORGIA TECH RESEARCH CORPORATION
    Inventors: FANGYU WU, DENNIS W. HESS, GALIT LEVITIN
  • Publication number: 20110265950
    Abstract: A semiconductor device manufacturing method includes removing copper deposits, by use of an organic acid gas and an oxidizing gas, from a surface of a second interlayer insulation film having a groove formed therein and reaching a copper-containing electric connector member. The second interlayer insulation film is disposed on a first interlayer insulation film provided with the electric connector member. The method then includes reducing a surface of the electric connector member exposed at a bottom of the groove of the second interlayer insulation film; forming a barrier layer on the second interlayer insulation film; and forming a copper-containing conductive film to fill the groove of the second interlayer insulation film.
    Type: Application
    Filed: July 7, 2011
    Publication date: November 3, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hidenori MIYOSHI, Kazuichi Hayashi
  • Publication number: 20110265951
    Abstract: Methods and apparatus for twin chamber processing systems are disclosed, and, in some embodiments, may include a first process chamber and a second process chamber having independent processing volumes and a plurality of shared resources between the first and second process chambers. In some embodiments, the shared resources include at least one of a shared vacuum pump, a shared gas panel, or a shared heat transfer source.
    Type: Application
    Filed: October 20, 2010
    Publication date: November 3, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: MING XU, ANDREW NGUYEN, EVANS LEE, JARED AHMAD LEE, JAMES P. CRUSE, CORIE LYNN COBB, MARTIN JEFF SALINAS, ANCHEL SHEYNER, EZRA ROBERT GOLD, JOHN W. LANE
  • Publication number: 20110259519
    Abstract: A method of coating the inner surfaces of gas passages of a gas delivery system for a plasma process system such as a plasma etching system includes (a) flowing a fluidic precursor of a corrosion-resistant material through the gas passages and depositing a layer of the fluidic precursor to completely coat the inner surfaces of the gas passages; (b) removing excess fluidic precursor from the inner surfaces; (c) curing the deposited layer of the fluidic precursor to form a corrosion-resistant material coating.
    Type: Application
    Filed: April 23, 2010
    Publication date: October 27, 2011
    Applicant: Lam Research Corporation
    Inventors: Ian Kenworthy, Duane Outka, Fangli Hao, Leonard Sharpless, Yijun Du
  • Publication number: 20110253310
    Abstract: An antenna arrangement in a plasma processing system for providing plasma uniformity across a substrate during substrate processing is provided. The arrangement includes a plurality of circular antenna assemblies. Each circular antenna assembly of the plurality of circular antenna assemblies includes a set of non-circular coils. Each non-circular coil of the set of non-circular coils is offset at a predetermined angle in an azimuthal direction. The arrangement also includes a set of power generators for powering the plurality of circular antenna assemblies.
    Type: Application
    Filed: April 20, 2011
    Publication date: October 20, 2011
    Inventor: Neil Martin Paul Benjamin
  • Publication number: 20110247649
    Abstract: The invention relates to a method of cleaning and/or sterilization of an object provided in a hermetically sealed enclosure, providing a pressure difference between an internal volume of the enclosure and surroundings and generating a plasma solely inside the enclosure for said cleaning and/or sterilization of the object. The invention further relates to an apparatus for enabling the same. The apparatus 10 comprises a vacuum chamber 1, which can be evacuated using a vacuum pump 2, and a source 3 arranged to generate plasma of a suitable gas in an enclosure 8, which is substantially hermetically closed with respect to the atmosphere of the vacuum chamber. The enclosure 8 may be of a flexible type or may be manufactured from a rigid material. In case when the enclosure is rigid the pressure inside the enclosure may be lower than an outside pressure.
    Type: Application
    Filed: October 16, 2009
    Publication date: October 13, 2011
    Applicant: Nederlandse Organisatie Voor Toegepast- Natuurwetenschappelijk Onderzoek TNO
    Inventors: Norbertus Benedictus Koster, René Koops, Kemal Agovic, Fokko Pieter Wieringa
  • Publication number: 20110240223
    Abstract: There is provided a substrate processing system having high maintainability by widening a gap between various processing apparatuses connected with side surfaces of transfer modules and capable of achieving sufficient productivity by avoiding deterioration in throughput. The substrate processing system for manufacturing an organic EL device by forming a multiple number of layers including, e.g., an organic layer on a substrate includes at least one transfer module configured to be evacuable and arranged along a straight transfer route. Within the transfer module, a multiple number of loading/unloading areas for loading/unloading the substrate with respect to a processing apparatus and at least one stocking area positioned between the loading/unloading areas are alternately arranged along the transfer route in series, and the processing apparatus is connected with a side surface of the transfer module at a position facing each of the loading/unloading areas.
    Type: Application
    Filed: November 11, 2009
    Publication date: October 6, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shinji Matsubayashi, Satoru Kawakami, Yasuhiro Tobe, Masaru Nishimura, Yasushi Yagi, Teruyuki Hayashi, Yuji Ono, Fumio Shimo
  • Publication number: 20110232843
    Abstract: A seal for sealing an interface between a container and a lid of a process chamber. The seal comprises a first seal element and a second seal element that are arranged to seal the interface in series, with the second seal element being situated to encounter processing activity upstream of the fist seal element. The first seal element has a deflectable portion and a protrusion extending radially from the deflectable portion. The second seal element has a radially extending recess in which the protrusion of the first seal element is received. The protrusion and recess interlock to restrict separation and/or rotation of the first and second seal elements. Inclined surfaces of the first seal element interact with the second seal element to apply axial sealing forces to sealing surfaces of the second seal member.
    Type: Application
    Filed: March 25, 2010
    Publication date: September 29, 2011
    Inventors: Don Bowman, Stephen Coppola, Kenneth W. Cornett, Dan Funke, Julian Kamibayashiyama, Jeff Navarro, Jeremy M. Payne, Donald J. Peterson, Douglas C. Schenk